From 30c14f0178d51c265f8dd18d856831a9b298ecc6 Mon Sep 17 00:00:00 2001 From: Markus Birth Date: Sat, 18 Mar 2017 22:29:53 +0100 Subject: [PATCH] HP 50g posts. --- assets/hp-50g.jpg | Bin 0 -> 93803 bytes know-how/hardware/_posts/2017-03-18-hp-50g.md | 21 +++++++ .../_posts/2017-03-18-missed-keypresses.md | 57 ++++++++++++++++++ 3 files changed, 78 insertions(+) create mode 100644 assets/hp-50g.jpg create mode 100644 know-how/hardware/_posts/2017-03-18-hp-50g.md create mode 100644 know-how/hardware/hp-50g/_posts/2017-03-18-missed-keypresses.md diff --git a/assets/hp-50g.jpg b/assets/hp-50g.jpg new file mode 100644 index 0000000000000000000000000000000000000000..4a981efec3a514be9dd8b685a5d7f2d6eee10ca7 GIT binary patch literal 93803 zcmb5Vb95$8&_DXb&c?RAv9qyl+qP}nwryi$7MSY z?&_YdGxeF8e{28t07z0|l41Z55DV4&a-5Ri}%-vkK- z0|f~Q{hfz~g@%TKfrW*Igo1;IgN6Uj!u%%$b&I5f<6H6926>|2-r)cHRI0tyEH-2nRE z1_1t>5fljw2><}O-TSW|JOD;WSb!0%2nhxPddQj;Plg2P zDw1C8&BjFSOZ#ISN+YtNRu8-H6$??hrUO_@5*Rn1nYEF~I!2dCmtD9ep`6uZ3PNo1c=hH6oNH8)NynsL^pRnKj@dK&|*ZF{SZI}8Fx)5;Te%aj66~;&Fnap zfI(4bDoU7_r6*@)l`KKQnI4_C80B*`HVgwdMsO3|7TMf3jXOD6hy1>M2K$6k@6@5^NEMK_Y{O2_Y*}AxaX$k(eJQ#bpe!Yk4rAKU;@m znrTKq3qr_I)^t`bqYzd+S5Ldeo+75T8AhcD0zjfbiwp{BNJyu&g%F1T6~c_n$ixZy zWW8`tO-oLISx;)hh{THwj_QO~c=@GzmL9XTmQEmn0Ac_I3K+DcO_H<-E2x%`sU!+{ zMrI^(vptnBpHsP2XR}c+mS8x7p3j4dRf;6Pq|e}8PMTz35-I}HK#<5;KqXpBO9F91 z5-XQP60eOA69=xBr{tM!7lt=o1s`sj%|1_@UlAuVSugP;{w`;>*neAtks=Z_OGJX= zTh|C7jgX`b@HAsPn%0Nze%&m3#>V}3KH}yOT5i}a!$e8H__w<2JZIzx0Du|EkO+ib zh)4<=rUiyhf*e9fB*d^BjrC!--!@UJxFPWzycrRt=Iypf-7Q;GtHschU$8WrMeM1-sW>2I=tX@<1sa)z zMHU3vkjaKbK${RTg9j=|Qe+ZkNswtw4kKfhXZUW~wOTX*)6c?e)(6~ki7pt%hxs}rsgS{Ba2Y-Jw59?PtX zDn((Rc&634PuT+^05K*YApj9Y#snE@kxUr+1XRm}N@6-up-X*M@7i??e2n3KVP~^B z-j>Ni2JR&(>-lU^5iVUA2AR!AQNhfd@hoJQ~v{@3A zvYlpUztCYe$NfB6qQ%A;Ec=ddJTiR8gcSqFkJwFCoYnAb}ye&7;cGe3rYv#GHx%?_2YA`!JQD;*S4XgpwPA9~{FX7^mKQx)_O#W7aVYsNtVU;*X@0Pq6?AqECWWsordTxb9a z3M5BaIcpng`=ejjkTUPdB2DEEt7U6qljKZR5|Gb~nxc&>UKPPGh8+tjkhmENvTdPY zMeLQ_O0fk7A}mWTW?j|!#0vq#)^n!JRZ=TP7zV>s)RV1b9d?mIxXcyYYJ$y7MINt3 zQB9OdcE>&KT1JeaK}*VxA(`E9A-N8twrf^tTb~S))stXQ-DTDPRBScJ%Ul&b6pPN= zPF3k`qLgI;$GD}+Ikq_Sx0_t;B!h`gy26vNOE-^dqwp`)ALPrO=#*)f9k>vN3td32 zi^c`MFh@O4eLrb5&(1Q{NJ|8sriO&~ z&;@T(-B);)8CmAq$-ya{GCxuIy-&GUexu$W1e%u~*>)t?W52vFmU4`D-@<;U^nP&T zba_S=Kn7bH8qvffa_?nJN#clv%1<0Q`3PfZJQ zSW>T+Y|8Yl6|4NyRFGhS7UT=Se@$g$nd((Wia>$}51wQP{WIBp&PZT-nd+xk?V;3I zP3wK=wdZd>Bw71H))R9~$h0Wyt4{BavzD4#J5(0$r}L);s3JrXTLbenYc9xiqMoPK z1}hRQgq7efZ_0Cl_@0J+@+(sSZjcwyD8U=6*&FBfY=AH6@c3l-^2=! zv<5EY)|}zxdzFDrOHTqWQOn($kW=;g37rYnZ0+YL9Y*HXYx6R^I`X#8Y1j6iae<~! zKGTC|yj7Q?gjS(V1863!!ZppkOBML&s?y-?NV|w3QMX(G&*(bUq241l*lkDq$hhKk zweDnHr^3RjqEsuDES1*<$OEe;wAI}%I!#_Cl3ncPu&&s*h01yO(J5>~cW`el6V zpIyfRkEA-)qMDn_#tS)9!yEiJkHQ$8W@T?OLr3pbyUhotTECDD{_r997aRr8cHlxo zD`U*ojMgTkw4SDgxIjw^VFh+WPUq78?D!V-Q>L0!b|dT{DUpfD02NV*G1y20DUA#T zf^I__9^atfx$Wf4ws}QJL&+Ei%rI{J{G+-Zz%oP6TpO!>$pzjya*CVF_{U#1AA$5& zf3Vf_-B6v@hklXUP&I^UzcVYvAQFeb?sL0Y8>l>xa_)e%b*b^1m-WyXWQFJA2u{-Khq?&>fxT_2m>SY( zwCAb8{9MNKn|k#mVfEWwl$YhG$=WaLvhwAXeBs!7jlND>yt&7E?VNt0y=1Nn7`cFj z$8DX7i&NC=+2bBBc|KgQOxLU-`X*+9xW19+8OC@n*2&W91pxMhNTVdSeSMt->R_%I zm*Z>uIl?w?5_s!+9X8kd#_P$tl|V6AEsPiJosL9Kh&5!Lck>n*+3$6aU$tctk9`jn z7wc!Nl6nrymT1xAubIB`=r51a@vsD3-VH0>x_E8E5zY?4JfB*#Z;luU3^3{PcHfctv@p5_RDn%q!^bF*>$l|ESR?bi=m-{JNF7w3g ziGQGb*^xC{GmF3^rhci*@v*b;~=FpC+>N%(Ex?j(`hVNQ|qWDqJ3i=G4!CDv-zAc z0n<^9pAq><;aDHQ&ehc(U$x4i|*U|y01SN zIt6w?o~lI0uIOLdg^X4$5Z&pw_8PI;!{mabWE7crwpr)8cke(#u~QDWzMjADrY$o; znhzJtQ@V9^=wXJ|%|9rM(|Ihe9v@T;2_#F+>*yVvOBXZMy$h~DAYwjN)}mRUKlR5n zT#p<}N0bj`=?(2#Jv)kKa7qu1HyKLN6Aq;AA`Om~(@tt~Sw&+JYiFuCo7z(Dz&g4v zX|CPy0a#|dz3U?Db@^2uwgxI9TfOmPv6QkL0nMc9cH23W=U;Z-DC?5Z$@A-Db7z}(oXs;=;LJ)Im<5)@C=`NHt6~FtQO#9UnyZ;bIJ%U1M)C7zCN823=42hZ zX;lCqlBdV}bE?IXzV2`4PmpCPpJSU*r!oC%UoNAM8zS3Oe0<10hWbcYr zWE+j5#>6ZPJWPhIX|V_NB}s`lRXLU1#((a~+_Nbv4CkcJv1)$(IHlt{QWxI$Q<9Co*Uu-mBFY3e!_{~< zYgi0qgwq$3j=RN+>#5sk$|qm3Xw?D$z#DJc&y`sBOzt93EN3gR2^jxTvWc0>hT8&( zln}vM*b15CU6bDPniVnHxby(Kv`UvWpsIP@#SoRekeK`JCzP)Iaz7KflmXUa+prcG z6A??C)W1(<$>JW3j)lkxVJvDq2b=ta!5b6S7=sizS8JsB_@xR(?D~;Au>Otrz-P{7 z{5{s~-o84R>i;#{usX7}JhGN(f*pi2L>Ej%hcpc>fn|yXZ!y3~ADE32>n4f!P9Lk^ z_1!Z_JWKj6KZ>mv-a#O}z;T){-DQsgF$F%@e?s8}i1WksMTLSYdAOapD2Jvd5yOzI?~ z-P~O5%)9^OvX7tr#|a)QgUj32*cL6oid{s{Zq-_W6KfTXio}94mdY1+6C7Yi<0Lz6 z$B<*n4Kus4l35k-9~S@snv8}6d_Gzs01V_22{Q#L%Yp|0e@h;ji9E7!vx6DdMzM5G zC{=;|Z|na}1p$IY0Rds(fSX|e7;>8tJLLbV{l@CpzHdWr^=?|-p6WF!<+ zG$?coB4Q?H7B)dl5>hg9MpkwKAz_Md5D)qr+XI0B{fs?;Y)Rm1ghr2nAb3Nh5yx&E z5jY@nMB$9Y9p(soLwJfkz#V2IuD_AVN9^tNC0Sv%cS-8SJ4aa;^c-0XIZ<}mb0==| znO$)T*ObRMHZsmligDF~ zo@_%#6srgvD<>|6mG{P5jf_n=acqh6$01(HnC-QWMQj}n*D@xpX-r(vn7@Q~LF+=r z^WyVZ3S+Be>eMj~M*BT#;S`+gEF5*mu0v$$N|H_5P@1f4Hqt_$ODv_Wuz28f!Uc;` zV?u@3F2f*|hTs+7#SutH>uTPyb_Y=i>HtJ1e?~_q58X&Fw~h0zOa zW)wL){3V>3BwRyQf}TCd7unS=;bPAy}xjO?$})7 z{K5R#@kJGlF*yoxwW*2+2O2`My~(?(Ei9`L3ThhNY%o>lIzGpNB^C3;1yyOYYSw!b z>EGazmI)V5{e@?t=QS1nkuC=6rE_(Tug8DwF`$n)iOQh|ybL zUl}fFC>f_bl(r)-msY|l$B#QG91O|7!tN61pZO2q9d9ovA3xavvG5d&{o8!h`RZNa zFJB7DL3=~SU%s?obEWakZ^jKM;RfV!15@}xX*>{gZipIcaGY)!9#?Duyd-0CBU)gC z*W$*u;>NY&#@9iOaE9z@KHy<|&u@m5?|rk0bB`kz?N5mDB&-@I2jU2%VTnT$`y~!Q zP76F%L=-3(u%N)-HV)c&7-Jxf0~Su#h`NPStXyW|G~>ruP{;ue8JOry`4L#JtLKAX z#^w^cL_vRFzJ!Yp{{g0~x0kGUR$r#&zW5j7ef4s9PS{;yA7tOJUtD}YwL9y!{q6P6 zBX`H%*=`Aok=|l+NeC}$|EBF27vElNx~|tdD^{$Ub+g@Aw<{R=-+#q=?>-LunVU<9 zzc>4J>uVy${{vKCu;Ijt6)RROS^aOOZpQ7uoEui2fBL&PAHhFB9{D2=x4@f=*R}8O z0Dtux>*oK&7VBokigmNCSFBoQH1SVD`rfkN%V(_TeKhg8_3aJ#*VwG~*3F1@yMDLm zu3*71e-jvs{s(v%`BFFYFVs%2TiwF?@tpfVLjIo-ytb|xt^P5L_vp=$?IWL^{`6j@ zex+;O#UgyJUtfKV(fS8~7klkLj=-k4HS@@2*nG9=H3t7`VfzQb8fm`^VP28y%@Nk? zTF;|PcbV%q(Pq@Y^lz`f!Rp{&97Y8y{?+hIvTHNzXI;PPH$KPWc<%ky{r{+J-L9MU z%C=VMa&kj?l1Ij?-&UJ3Dg$HwfL z?l*f}+-~vlyT+jG8XGrjY}`(9@H<8WPyVcpJL9||i8`BC8zaBs;a z8J8rirIJ3cKenxmamVI}cWkrTT17>?Szf^yJX`pH=TA`;7<+rHs}?eFqj~q5#wYO@ zTC3UR*}|Ibt6?XR3^{cvNAbeL`3zgmEmWNkO_||$|4PrjUH)cvgOOY5?(!dUPa7)<8e9~&!4}U_>B}HEpf>1*942Z zBYtr@n;<^XMjD+i%=>1i~#Kv?JF$C>tlVxM7vB+vO{K#Ivi#vGF(WWb=EzjZ1 zwlWr63hd_od^52mg;8$qL$QXlmD&?J+LGldz6kX_%sjBqU^Br73e-x`>SC`2^XLw9 zLxpSKBvuEJ8#%(~*+Na( zpbVEL>O!79Ny6<{C{Za7QSsVz&|xyOd6uv{U71QXvl1CM5U;bh#T3|?Nc?lBuvJSk zDInC27H2{kCY{g{;h2kbCC2g)6|*O2dh$F0V-B5x!z=sSd7g$aaYLn?C! zlF;}Bn3vhiKa;)Z-*E8xQKGrv8*z4eD=wO&1bIfwRtJ){Q}`~ct2*On)0WbPVPYBy z`bIm6l@G{xPqDvlz-*>r(v;Jw*VOK-_hkKJPTgQ7<=eQqf3C2bsMJ%#z?EQkNF1xwn;mZmwjcn-PT!Or5)E= zWqTcfmD8{6c(s$&1!K&Pc8PdAk`lL3EG5dN!-{xiqQf^FfBg#4(okR^x1Pr}RkBJ@ zTAIuYCB--EoZ>d$SVCUO2Ci)6ROz@#xrwnJkYtP0k7K2EIY*F?M$#89RQeS+)6g>* zQ6=-zPS&;YG$u-<2mg5+wY;$S8$-A+WSGg4Dg@M4WmQS4GQ2d0=}^k$Lq@6VPLt(x z4z8vdmoAFhQpw-0j~HHEjuRE&hNgxq3XqeGiEz?c`mLmfOiCEk_MX=5<|`vc8bPz2 zwVN+y`ZkkkKU1xHS5N2VMU-W~$=uKwOdL%Ync0Z_Qtd?tGD z;ywTSl7O|`=&G$X=7aU!@^h?iP59GSbD+5^64p#;;RrrrR)~&>Z$<{;QNHlc7~aQ_>MKGz z!3h0h)9;jZgs@G2UtOo)JPf}>bJn8}QA2vS?|kj?VY9gaSEk{3Nsp9`{rY02f3#Ut z&Yi{{KnQ}|M7e)^U*HKtckQ)U?XuG^g2Eo(V~z<}O(2N78A_{gAq=Oim?G0Q_R~^r znLwHiQWasy;=n^bSiOjbhFB(LB}~bUCuK^Pw_aH0meq?`Z^c#@j>ttPZOnT^x40Jz zeUwcf^{d-FdHh_2G26m0nDOFmI^Ip!l5JXTSCc$#Z-pyoMT3^p;BpxQ_1@VsVGol^ zaysHcuwgTWa{2+xY)iSI(eo@WNbMO0pLFwa8OblCA0=ugK3?bn>Q!=%xN3zNUHt>J zi=6?R&Aha<(>n}BD@9U%kBPKWU=-#9xD`)v$<>_vMqU7#*y=KSCvWwym(@+XRu*YY?eDphj8Qr8MJ`MpCp%veMo>_jOEp zY*T~Vlf{Dxj%KEjkZ8>3>~IP@N(j!H$`N)r)S9Y7Vcw*sKFkn38cn#aZc>1oA*i9o z&G?#4jF$AjGW5&HAI_A-psBr7)}mZ}km0yqvdXkm)8v4e%w~3@*XeY8pt^`QyDen+ z=|qQ(Qgn}pF`pZ&{Zyh@N@F=ZXjc20ijaw_+e9K8-A6gd(+CFs+C+*tDspa^0tS%|@|{98Jny8uBR`H#$!nJRNkDF@b==U_tb0 zN3oJtQ&h=V2N92RCP8ZLqi%RY2WrKTZZU?8^t$0_H^<{U;Zc@!iE&0C)s_egv*ehm zyiGQEFx|FZ6xfH#HkY`$GC!iHo*K0~T74m;>Ug-*24aJ_I&fGUvoTm}th`e!i$$|k z`w&#_b5@llxgwEHTioNcrSoHQDx<3a%^6Ce(UFR#Q5~Mz*)%F0^NYil#HAO}lYJ5>~r$M^r;g-DVSeur$vbKB^k1*;W4m%x)4p7luykU0h8z zCHa30E4{h@W}_z!rE)B_vhSE6W^rMaVl&TJq4yrXOyY@ zttGb1yXZg}16A1eZAMNK`vAA+!^UH0cL|$A!HHI8tf$5ll@_F>(w1#a&#cP&#F$I; zUGnY_B$Tr~V2n&%OjVp!a z4moV}kwCbk#pg`Y+yJ|GH^p`*Ma2iGk5J#m)G%AJ*4PiOQCDr!w5pM;gYC4f?P9mY zLB3UjfCyoDNXj>W;~=nNI;xZM>|c|t3=NLQ~)9MHc@CD+CWv)Ojjwn`>g<$cFiFVOk$ zb+pveMbo;v7i=r5^u;{E-M}!?7ACrBUo;)cdk*y41j|hNXkS)n$kvW%NSh~QI?^_0 zCmRLKTq>@4Y;9T5E(evH>`F9r&idWV>&o)uY{hckCn`>GGc{2QfpGUB{c$T z1-m27+DryT(lMo2)XgLwvw;k`p*%|icir@ZAbUB>0ypAst*gqAQj&$DD7jEWkm}?7 zR2`y{Tpeqh3f9w;!^*YYB4)%^%Gs(QO4xxjetIwL=ubAkuoD&$PQkyI*!1>v%zvl3pSx!pD301zkUgT1ZOMd%ewMN$%rd9aTbPNA9ZOi5 zgh6RY?dYVFRj>+!num&RO=WMl_)q95{E#}WCvC~Pe6f)op$&gBnW5cPXsHXviI3V- z%ha6@NuTGC`f~%e1gB8{C$k zjTI5nI61-5I2XY{+#;E?wu!1l{+#kO=uyRL-zk$j)^{$!cxrOrjKyEK7YnosD4H}Z z?XI2>duPy>u&Wytb}Ez&@gquVr5z_P$CHlbp13G{okoSUfk|<`Tw4}a1t(rjL)Ki2!gxUQ5kjsd2-{4vmk`Kzonsr3I4BX%si?QEkW>G~ ztC^|OSwpiS9q0NOg<`XlmvP*4!u(sJ{bap< zYE!3uw|(?PZRCi^fxTV znMlWO#>7Y6iX7(9Ng>*nN&da^Ywj&_7hs}$QbS;$S$&=AUfAo_Pd_Zx4@WwaK> z6b4D^i z&T2eWFs(LU$syulrV#~}CDvHf0JR5|&t*@uj#u8z8SuU)gufSaocOLib#;l0zXKtcPSulqv`Q&<6Y=U7{0OHlq9U>#R$)IxU zHV|X5G3aPC*kB=u0PZ{2N=8?DuyO-e7O+avxKaaGTGGl)2)PCDb!6e8ckzxMHLV&hQ z*e320b_hEV+eZN6mar0+-~0o7L+bp<0Fdt}T~H`U@b6IF{{sEK174BAh{2Iim;@Ce zP>D#G4HF#$3mbpmp)m?AK%y({ld=dH-D5ZfB>~C)2VDOSpXCSn*rat<;;OV~Bh6}O zvndn`h2>|Pvv$|o@}XCH{&CWhXKJB^+l4l;Mg+LObPc~!ta#oPD!!y^{K;4N-_{@B5_Hw4ix52o&M}K{!gNt;bvElQkySeEdlY=UfTk$S+ zXLTi&JZk06AZSk}&q#Mx@-C&)NTu?wyo8oKXo=mmv?tBCL~M%?H{qU$dv8Bui$1s| z2vDF_u}bpj@3+t^@GfP-!)oFl$qYk_p=lAJmpPZIJC`AH}R64@zQZm$8HnEO_<%m)!Q5WCo(7h0TQ;x z3`iS=DU08H)ZVtmO-zucf*?d6?T#I&OrEYvZQt^Y8%Z0GX3-PJ{Vubx`CXh3Q@+Fr zMiPY?gZ80Q+=T50FrW1#OgH{=WG1S=joN{_>aKMcelJEt^Z? z>&sJ||4r#mRoRQqV6sIl=}U zzf+5F*|i5%CQ?9ZDKHu4_cY^u7p)BDSWcgofe_JBb0g3tTU z0r;#Pc=&TD;_~!WqT#33cE_y71z`@m_FpS2kZ+F2>j-?@;0%BTcy}n zm5b$a`D)9ii{V+r0(@at#oH?4V-Q?ZyhZXbjTUy*(B@F=wcE6DPmg zK(_ZwPrT7@U@nTsjKQX)Fq@Y#=s+>JiyLxZsfwyYrPQcD`@oJ(yhD3^f*)0_z^&XP zCO`hB02iH(L&b5Ks$tPaJR^g5uoj4Z&z*JHpPuuva1>#{T&a4IM=%Si%x#aci79d9 z+kAPF5A2Al@g{^)cu#(GoSI-mGSzN831|YEbIrxMyl7W;P7GTSZxYY?e0?I{PnxbF zf16Ej{;munK6W-uDaY^-QWwd9?AB)3@!AL4jTkg&y`A4W=Il1T5q@ucO(rxTG3Cl? zM*_N?a;&&vAPLGP^LKgH94@CG-3Hq%!C{oc#EUvxX#C z6r4*oprI7oGulT~&hg+*1v}Ty@3as3A>u2g+Q|CSRvwZunNI9-=8skq>@a?yhMGdM z9SPh+ch_52Y2<~@&&UKB{{!Gt_`au08FByW!~F-C0gSikThG17K?Tt@Sxc*nEDb1^ z+{c0*_oaVNrQ~uKO}2(3x1Re=#HQJYeXi@Wj)PiNoc-}0TVzF1$5aIPko z>mgr-#{7wY00@P>4>@M8c<9g1bq7)S^#*avnJ<#=Ew$n%U+!A)#5TFRajgigdY5gv zjJ>cz;>n5O>2K?lT9HQ;6@#ybZE6r~tYVb`2k%s~21t`}dd0-_gr1-w3ov4IXHQ|NPnWnHo_s zxfZTc-rD4aA?tvc8acdBDbX628Sf^)SJPV!r$Te(gVdGep2m4{g zqkaxMKL<2=q#Id5IRHSe2vxgS$G}%C!RXj2;itjwmd%x8Hmz;19>*JSiv~?lf(;eD zEtjo#)&bWu#*JX88hkwgPa;zD0h6s_U8&qM81~b*4+NRt%QZ-`?x0Gl6kFS6v2=~> z8u2?yWhyXZTbE~uT+6N{Qp1O*kpVbT($E=B2}8pvgybd`Dy5~jkD4k64Tl0s$AVM= z^i@AM=mMU+>cnQLNJVTz&ZMg<<;OFJ8N2?LZK?q3R)Cv}1^-4+rv6hSYUQgclLuo% zWIWt2mekM@zMrGFKqKj#`z&t|M3IK zhi7*^xfLO0T)T#Wpp3a=NO7yi4YUtrKlR`vu_0yE3i?cg6x+tS{jp0$3*fWa5OJ%FdC6_#Y;?x%R^fo804fiUI_@aVJ*>$Kp7j-`?Oj1u; z8lhFZ>@fucVkBF5uh3R+Ovp&Ms0C&`l4#l);vO%H2Qw()U>vSNxD;%JAyZf$A9KLk@+~>lP$) z+zNc?@)tG`Wm2wjxOZZ=t9%`jA#F|0*<1g+ZeZa@i?PKs4K{Z9tUrN=GgLh?Wxq_& z(8vdS#gbk506c5G9{Bh~mkTlW*A4gh2iTyHpIYWUS5`vRdu-piEPDTy#}}?0!3?=| z`a)AK*p}OxBv(NH$mDrHB}jayj9^rC$45tZ_u6;Iwh_)pC*^#9o!-BWZ@^GN4ed}X!&P#2G z64vBZI~{(DO_Rf#VB`-G{Cx@!_!5-FKR|pR+*FgZLlSy|aMtfHoId@?uxR}R|5Yaq2a0%{oe$$hT$gg!{ZHi0Dj z@OtFkyzk}4jwb#YzRFd6U!;kS%VkqF0*~T_jk1e%K#*tf52DGDc{ltG(Ibg{+Bz~B zlGk>J=qk8?AG?hP4f3NvHsOGX(-DHOnuW?+klxFkclW&?_XkI zdeNgQR@3w)ppN`1ToAn3mT`X2{sVMReU|ca)dMqR^od{?mrnTdnDWuwXXcGOLtvO70#+{|*4nnfap7UChLEXBlBda5QHC3jlM6SD&4ptmo z8g6Kc441paF&EG|U-=&%6*$6mu|uD;JLoQXNuyc1YSsP~{|6xUXB$m_j&sRpUAJ2xEaP{Ztq;nJX=IcBU7h5rdalFbu zHP6ub5ndoytq{S5(@4UuYvU1A98|BCQL)yyisGTEcovsXzh}Oc_@wHPTCr%W9?fHN zAh4mX>;Gfwbt1-BJ<0}?U4G!;d>*U3y~F8}XTz9ALq^m}Zdlo-wYzX3;}oBp0oG`C2S3TB603+tt^`FK^!IP}!UEfOA%sf^ zMPn^2xP8d(#LJn6Sut${pWgB3vPO*aqslhqEI_)&5&gQWak<<||2(PfYG}~#QMI9a zW{4Cnan!Y}ukR5-9Z?@v8}8OM|8}r9n>L~U?o!xwF=uNpJOz9uK4`>coNe(~apr1K z7?wMBWW-0E^`)JCr9<5njGye*?V??%jIXQQ*I^?V)unT*t~OJPsQiM4>5BH=JRQAU z%hIoc_uI}NR?g-WZ)FWf5atWoU&sABE4%F?{rzSh9sU%b^n33g;D=Nxcl*+5zH^S0 zwZ`bVK|ob!O_|eXh4ao-H68u|<%GkWD}N=y7~tO>4*A;h~hQRsPC>$t%F*Yz_kAE{YhLn`>#KK zQ$Hdv;A)8?NDm*OpH`@cq-CH33H=mP+T3(^u$9mI63XuG?(K>H(uuksSo0vOJEyH1 zbV=1g=1Qpq%hegF`Xvn;S@_}K;j)+owl{C4rOnpdd31Hq2|KFz2;v_@E;feDux=5| zjBcer>L_0>)++I2Yy3#UXFb4PSp;vtWcjuJ+%@Kss^g8bQK0qm=l#U}s(<9$($}Hp z>*$9Jn!0m?U>-g`U17y0_)1zj+o+gBr?E;WfCXWvH(-(Ob!}+-{+Mug3SDIx!FbVh zt<8sd(zP~{mM?SkTh|< z9}R&+9F5hl;`85!L^#W(oRvB4vZZJ{;X^80X_tmY%jSuf8JT{`*%nlGh%Owj`m-Qb zuP~aF_5Sme6JJ61{mJ{lmv`a3=2y6ywT{LvGUy<%A!9>hg7uH@-9LaB4_ybt z^|HO%O>^M3y+ie0#ex1xlGa%?7Snjy6Q%f~gM;~%jp$p3qy7YAY{>=M*4`L25&kuy z-$>{{Go0cw)`7Wa9Kr3=W-$Y|Rs@1w;^vkvcXs7=wv(*4Ui}QV`cVnJpv1=}HLOiY za#Q+GORZKcqjDKWO8ZSHN~N+JxJpuUdUPmsba4oVqt?{AOJz)lN_z-;CG^zP^#6;l zw*ZPGXt#z*a0u=i+}+)s;O_2=yC)Fb-F0zig9iz^xVyVU@Zfy=-n#ey>wZ;V)z0>G z*R#Dd)6+fkoYT)~Pj4MB%|?3wvpa~zo1(aArMkLGwdhSoLsFk9>NRUDTy6Qs2rh5( z^rm99GgL=e+QP5o)76fa8zTc>W)#R5*=+Cgwu>T9(g*C%cbA^N8yFaiclKzA5;&9He zsZ&y!hwR|?U5kY#7tj6|y!-h-@b13@dnC4^?3+}SE`U({zitlVyEwn z1hr1t1tM&dqEqd{HpWB3c~Y%&i&W*6;nUt@1Qf~)H$x$Xkv?K-bcXyChebTFQ!Rqa z*G}oI_|frPOqoV1Emcda5PuxpR17y8kw_ORSRq6wh8R{-$S*}QotHj}h#ARl)t21) zkLV_i?iCc}il?(;!bpdNj#J@ z?WglrZ3A6PC+rT*9LB*E&vUim&0aq1jgTj`)lsY}?uteG>otLl*p*ZVUUQw;k+eN- zpI)CLp9y2WusvSSJ=k4tpJKe|O}xm#T`r(n%z!iU0HE)h0JQiS&HkEDbMzu z00G!5wu%#c*?~Q&UY}9CTmf!M>A>TLkDJjs-#Id4~+)RKL*DQcd%6(`<~Z|G>gW{`@`xcr`8#9!Sy~gzn5FRW zSehj^3scCBiO7n$QoCVwYQWq>a<~{45>6th_H%Wq0fkOLEUPs7Pcrn|aTYw!75Cih z^fgw^sZ3a_j{K22-J;qV26qZ1+Az5_PC%ARy zOScNqU4HkB`fjFnNzVxo<%m0hA!FT&k-~WnCWb+sMqG-Tp~Qh)*lAU;=P=!{L}=fg zLE1_BD6@y~B*%KSe_o=}QwSwxSIRyNhE5tW5Oi6U@HpsH@^&D!&k?io?hJ-`ygM$~U=EIE_s_xXukS{kA zP>*=l>vv@wMwr8jRGxfQ(07&JNt*=X+S>2rEmAJp@liP-biUE7OmeHiu8np>DAfLE zNNWYne)%xv+1Dc5qS4oK3RAD;3A!6=F)V*7D=w9v^H|~0X5a`zfJFS2TJcV<4JpX}S+G41%!t+x3b*dPE z=af)PjvPj|_0;Eaos>*2M#PXKfpFlXQRMWKfEpJiQW?B$FfXg%4vsabR$&*ch>&2E zwJMP*mBa}Ht6yJfL9g<|$%uVcv(?QtMuYzffD8w#a+tYf^;#vYU%nOUKQZ%vsv5L| zJ3c|_Sn)#mguu;<6;^BX=%)e)7$eSR>+IILK-&z?8Lns zYb1(NR1d#ffH7HNNCNH1+?qF?_U)c@~w7@n@8`$OOD6G zti@H`n72Zr_2AVWi+wpj1;xg?)L}h{L*KT&zHMbQGKMHhmO2gRK^n#&Ass+(1UIimIsSxJ0Km#1;gW z;Qxg7m68rQFACrDyt={7d`y2Zu7Df;u4x3LnI>fUjYs10$5@ONZQ77V3gn14P%c9b zHN=c;R(noJ6wjSZuU2+4+A`xTe-KTL(Z-__13S|=q{{QYunMu-1XlFDNUM$abHy=P zBvjuUoRkNq1~3F&i|KhXIf`V3zet-=Y8W^_uS3w-_5c%hy1#%{P*|I!#{m*6(hHee zBl>Tau^GezQA>=`Jxf-$!kc0q-S>#uj&z!QRw6Os^W}n}_h2omRaI@l@&Kh-UtQ9y zkQ4B`a(+Qr%?DI-N=cBFN;aun+_3IAGm|GPG&(hC?%oddYo^X8r|4xP`xs`gpDay< zvm#pM$*MQf(|~5*A`p8=XB|^r!C?qL-%XCGDpp6N7X%bt7sk?BlfAuG0TcQ)&x#^E zwluse^xfO*mPZ53U?9%HjwM+gxz<25w-DQ`Z|m2omB8+-icv>90pKmxGjm$2ig);& z+B(gBF40Lw=)k+5mPoz$y)+3Gmh<%cj-z>(=KjPjub}~XpYv$z2I=r98Es+oWtT=k zNJyQ$7QIi?gg^#tuu?xhRgm?2w_Owc9P_M*L9i%P)QxzZRzqyf)ooAxex+%pYRF9M zW5SFdoj2m$eNz)~)@6Up0KTB@mx|9t=W6mq>81i6{324fJLda_O*$HAU+vzq+O)Qr?>AGfqe&$uRMl>)Y zqE}+~IHQ3cF~J?wjWo)z;uo~UQ1>1UNY&#S%+T)NW7l1W|1JWjxp*t0Q80$DMQ+z{Z&pwj3Vq_FO#G;0UBvz|C($*q=YoEEH5srqc}=ajKd zMANlh&eOlc2)b%|O`qy-iQa_*(b+AHuELIEIzsiZe_V^)T{!j!dg<~kI8yGWaCqP3 z1Ste87BLbsoH#{Abvom{?KliIH8t@K)k2;hfEwO=*5(HDAXTz|ydO{l|BU7t&#MT; ziRwA(t&cdtyrMXF(u$TNVdMJqaobvPh&`we26owiMs z{H&l?cmRgL0v2o;X17FPlAFvhRmIxzIq2(4zPBTc_(!YVSa zSwjH$0o4`^JCOD-6&*JNfY-DE*J@lI22@(*66&zt4(H=aki|_q2P+ME)Ws;m&J&}k z8h>-V7M^^Yr+I=g*T|_Y{e@iU&pF>fB8hB(y~y4~_;BiU?}-7sRawy4f`Gh%*r;PX z=aH+TcjEZRY#j3et96tZ@f^T&;Bk&4lJleVN141MPk7YkCe~j4 zY6oKn1&=-l=V7P5MoGs9)Sf3<3=6pwJ-d|w&W`4^xL}Yqx#|GY_9`VA8WJ)3d|qVn zYVi-x52&OJ&(Z@D1I8N-Id@O4I&1-^A1)@C3*15?!39-eD{ci?mKcWeGS;WlaebJo z80I*yY07E4nx3)(BDNP*qJ3o>Oi(Vrs}tiNv|P$cy>xE&rbf&vkiPtA=LqTnt=MyV zia4YhhuQ4uMV6z(cy~Vqh(qsVuV~o?@@m+H$ebaHO_!H$+T8LxaD*0$kEU^eyMb|2 zC$DKp+X|`HP9bzMqbwNcI4J@1Yaai&;}(@NWFMM0WCZq~Qq0l_h=i)X;&8 zSXJB4VfM=a#(P2;k~4pmxu0gA#X$=`sl=3?^8)Eur91JQ#BW?f2kO;5CN4;36z`(kH6Zw*0r4ZhS**MzJ7DZ)bIL5q;2B}h z>!nY+RGwR4vrS-}DR*z+oy)VscoC<)HpG`0SHIdc1kG-*g@Q2&m+V_}FsMg-!f27Rcc1}}>jl{QaNha-sU z+UG>8@2a{4weu{3D?~xKUy)jNbeEY?cSJJ%N#xgvSZ&_&t7nkAXG{hjb%$2TQx8RY zFjA5t!#cdD2(ZyF6Ra#@VuG0&K51QZHB6I2x+Ymr3F|3W_62O2%!>ga^gFM<8NSwO zE3({TP_LP*N23x85S)D|L4ghmQ}1HX(vt`8dTkw`H#MCXN>Jd)@}wYd^p&dT*_+o= zl2zou7BVs{uXBG6kag^tS15`qH5?S30klbpD?fqge?w(c`&E1+nfGN_7SN5nZhM+v_3`^`+{I!t7sa z?eA56;27+omK?4IF@yn$WTfP(yIg7=yl%_&_pJzIl#ud>%3b+Yc+>j6)stC7!+k9g zkqZ4|d$nPSiR2P2(7K%Q)Qh^`BKI=Q^sHUzZt(1zRZ)-X?7?9Au*|R&%dZP>m1Cc+ zhCO}D;{-ZavVs?n4Uha%mFm0aK#3GZ{imp#oUb(sbcottzf{A{3KthGTx(rv+O^k} z-cF$=bG?}dQqqiWjGB+tde(?a^z-7y*fG&C4@P~BdJL4)G(Md-P@9;QgA@8qnSRZP zek&1E)!dPgQE=WNt4?C@nZ2e=ACaBCH>zoE1ToEuC+#1IKG(+61u~Cl6xDXcOdtpu z*0_ZhQI2~-x%?|f{bwB>v4%jMUS1=EmCKaH>Q;<6>vHZo+q=MoccFAV6h-*(^3Z++ z3rGYa5p#?9Lr5(rgTC@v>5Fja34V54CuOdY#$n1W0j=6!H({JhThlH5{B5j9g?C`2_a7o(C{mVBqE$AULk?GCpPqu> zLM?7R7q98WJ(!G~p;1rAF=dgTe_1U?-fmq^omP0N5DO5%@HihGJO|Nt=}omni8A+W z*uH&0!Ju5?^~Fa*UUztOUoEbj2n{F@UnyV9JSFyS{-CQY^0az_K9vS=2Bzne>zu~- zYq>Lk{tWh9yyZ4@sa*IJz@-5ApEF;@3aW@$sZXo5uqz+qk@BgV8GQVL(?6gX0}{sQ zoO9H+4^Hva9wzhA2s99tbC20YlT4nEzeelRPS9QtMCVhfoi2R6U^B?$HJr+ zhVGGcX!SfJrP)21)9q#YcWvrPhY$V%&*RImO05 zY<`%xxc`7cejX%BZ%Dd>Pz85b%4G@^4{APA%{@HB{Pn+;Wz$q6A+ihFeJddwqv=flB5ld z9-Ch6mPeI+K)rrIC3p9RePKfR@c{*XMBw7Gb4Ge$Ebdh+O~Awvz&_@BWd3A~j#M_% z{?cs2G#ii+)&CAxzOg0Cgc zf+UM1Um~ZF%^Gtosn$jcY69cj-eKz3Nz=`-+f^%<;owx zThXUihIEQFS%DO#p<{2hrl#AJ{MB!)LA{)KVS(=9sE>&p#bX_nQAG+BK-FHx=XeyL zcHO5}fGF1zJk-u;LKucWZFinvXCF>Q`YGypj(X1W*KflUOLW#3r7~xxWux`Fed-l< z-x3oH`@CP#&wMyUuPW~YsAZ$wJMdJkKS{}_86b=f4y0T=Z=hy`VoG|$1Tmt8S(6-W zX9-S;D4HXyCSv4kDHwCpYIqHeCMcK6Vm-7|k98n}2DjM*knNEka?nSFg zXB)MUKmDj~)7{K6fxZ@syw|)?E9DBo<3yGVBcE6i(-7sLmY!yY--U!V5V0UgTJnPS zJeetm87tNH!)R~56fZw2i#v7yYx7cCK`C|7<}Ynq?cKU$B#6eTV!!J?(-(!xeNN!m zV~Fw8HYGLVZZX_P&5|6agr=9?cPVi#D9#k0BSWU#ug8-ln`v>0VpH8(r_cG)uGPRt zET3Hqf1EchVENjUrPaYJU$~*-d|Cm)?EBjUGJA?79mu%PV@wsonDI15qxb5UE9~?V7rVpd>M5HFBzL3+lG29Q9S2 zc~wNC;fBw1E`I?Ma*IihIzqmL=|{xEWK!H@&0f_Ctkc{C7v$?(&;G0)t(ZhHvxhVCFoh~ng|E#|r8ZS@8gCOn9ZRf;{!b2eJO~O|{H}D(A5fn1TM%&XaMVe1 zAZ##wELAbjiiLI;$>5dd-P=>>8T>JWZtr1eE{l&`Nirn@>x1V}?SkJ~P=4#Op78-SwJQh>zl6xFub4O14Ky~b!=}1n zM;Thnl=#=q9}W5Y@9>>`#RO#dPvXj0@l<$EbcFmSYlgia4jVnq4YSi|3><<<~i!HJ`s%*(046yjo-}mlS^U4Scff=GA%GlW3;0k2|-=61AU>7 zL+b!ggIo3l)cSrNr-SV+Mn<_sp^@n`e9+TgQOT$gK4y?!&g{J5;D*N0+-~p9VAMfX zX=wDVwso8v4*aYy!8K#1F9+)A7JHfHD4@b<(GkNz;HstL3ch2*O>BZKWd3BU|9KiW ztjHGmKU3Io6M=7{5aPuE9qKbgnFbmT76lmr;Xmrr|Iw+zfWjn)#bQUtrVv+iYc$M3kgk5NiF`>H%lcZsbOIW+<{}`mhvpwz0&-d)<1WROKtT( zayD?HpFg0~z<5kh*SQWF%7TZ6u==Cg)__99#r+32SMbm703XSz1O(sf0pDwIO8sBH z52(7$Mdd_VZ71e+zFhtMZ2kE4OUl-A(jr-Gn-_zTW5)Od9u;EEtpR1>ELD-uVm&WD z4?)wfgsmn(05r9d83^V9(Et6>Fu>=k^k!aeGkqwz?Ugged2RIZe(?5--|>HMm%{F( z?FoiY*Jq)JL&?wVGnKCEi$iHCLGGkeRjuF_<|+Hyl}XE`qaoc>8tH$Gl)ApG3D!za z>;($B4&)hol+jyNp)f7mpPlfs50Rhyp?y)9x~4l#x|i?m`GCeV+WSwfKG5EJSKkxp zZX5c37)MX_>Jh21ezA#`N<)K>>xcfAqBXWX(82uX8vor_nabCyZO3f8;)QKIx(FUG z?GIR?BSbW;;8Y_%efoR-=NZZz-#=g#JC%OJREGYOy_I|C52y^yw^s*lvsb_RdDq$G zUmsAau|bE-ua)n()3NV|!f`nR3wU=d!eMB>n}KZ~P&R#nkZ9|{gogk_ANY!Qrr=+@ zuLrW%dv67338kXf7D_pIUUnZ)F<;M@18zT{aN!}XA%2i^F_cPqQwnZ0xiab=_nVOT zr{t`#*H@)+6ZTGQr=~87kIGe>8$|VWb13axkW=Hw{hq?|T9 zpR%wM=LQ@2`&J0iIT+6PjjfirHu=HF@XpX@h@vJ`>{;OJ@mh`B^dIJAJL;srf7K!9 zIHW=>of6Zc1y9<=>X&9xvHS82a;`lz*%_>LCcVB43b60l9eLHgQaQ2|Nr^{15DVnH zGP{|R399iZF6)U-Ccn2>Gk{a({kn0oO_&L|RCxOvL)oKQ$)6`U?>gLGLGpbqBaiTqsiAaAI|MA~u5i#8i z(*KIB5p;;xgIz#y@20Up^&*lU+@Xc8aQ`AB;CNc&f`gp<9bok6U$i@rdzc?D;M~C~ zuhB%c`ce)QSA;$cQ+#fmq9lTKIA+)M%27vF52n=L^lY<>sSlgz^|##gb&P~tSczfE zk+oGsuBFy&_wqnYHAZndcRwV!WWrN&H9%fe{c8)Xs63otM2a$t;9h60t&kAwx~NA9 zp6Bu~km-mc`PHGWEsxoFL9R?FPg0UB!*Y49$S))>Z1X0yeu{8(_`-imuBX9KeIy>t zNvvd~A**~s5+X%E$2A#%gP#IW1U+~Quevj#GkGwK$YLh@fulN%AHB^PobO`Zh?cL! zRV5@%P{%amIectTf)cuk1(_>693wzAXGx%Gsj`i2L-R7aSA-)E@m+XypZylRJyvHL z^6Sz5R|0-J*?+z&XR?v+c=N6b!q@xg;xM1%!>i<1>xzp7EOoL}tvD2VMT-njg#uzE zo9Qh|lj-AZH8p0Zu+`Ri%n987q*FGXt^pDo{qmhptS zgT?jdwV>^p78;4~Foz{zdav>Itw)HJo!#_db2wPhH2%&=tI zAJaJem3(gS=_>atl2nI#`DB2#Q8Ti!+md>SAu_Swz9;rci>&C{O83rtnIjd$9hS*c zL>@w<{tllVM!1G`y+$LTFyV^&pxos0TkwFP^wm2s zTIDBXl3;3+;c0Y!wao>A0}9VfK~8TIWs=2v`ZgR6CXR3Ap0)o`7^^!lCUa< zap3}?b`DoGM`i}cnvty9kOD+C3B95k$CFdTUBS-+bFLOgT!ZD@Z`mcHbPo5#0id0@ zhSD;gBf?!7pN^zgNo%GtbI%Qj>-v?rcwOLcTsg~>QVdt4v@Tt`Tu}wg+f1aCn8Ojp zmjjAHr99o}PPCJjiejJy>4=7m&p4yX5uIg162tuEC`Q(0t@t1|7Tbl}wXYnB! ziL~sZilg(rFo7^ji?>|g+2Pq#o!?9MS2=6B zxCMnzFztk3%#ZuoPf4Kb7iv#a{NmbgM!rp5b&J+L7Hv9b4=Ov)7U2wt^Zx;*Eu8h=>HjPLKjn~=`9JpmcbZs!O-tJI^)OyI zNOU6Cj!Cvl4jci07MOW;@_O-L(O3Rj_QL*t_T>0r@wRreb`E}NJ!oBty_Nc65i{h3 zm;5!}L>az+@atH{gPYrs=)3A$9pxZP_u1)wac0F7d1}fAC0aEp!mULw{*{anMgoj) zT>kVeSk9~)e-QpvhP6K3Y=zkG9aR)+m-Wa0XZD8=KSr5T)_Dv+ zIGi1F6uTIK0nJ7;fnS19DIdQ&(@efP|L0HNa(<1#gUe_CV_};C*`4|)qsY*2F zdFsE6Or9oW2YIPQN3l-6$ggzb7e9Ffn=tF*^;EDgZ4w848Zg1TzX5ze4ZSaXK;_QA zD*Ex0Kkh$EqU|M`C$Zo?>E{&SJUPH-6q+dsyeU6FT|fT%c|P*+zkcE-eI0Z1=XzXr zB3cjv*JA|y`)b1Mx%!mPA!J9%=8tX#0Ck zFn`?>=QBT*a5}^_dhUCy+$Hqd$1iy@49Yhuy7?7<;i{GX2l4K5VnlrX14^kUcPSw2 zjX0TfE@R>YYLiy2mu6Tf+dSqV>DkwzTYnE#P`Y9FA59X~ZJ|((=zrJG_{>kggZHkc zTE)Ca7N~12q%W~0LKkH4wLHD`ot*Y^dpC7tNWs82N@ZjJkh?)qO`*;hubk2s`Bsk{l-z{LmE|_p?<^yVq z*g;hGs}p3EkURGTql!1;y`}OulE$;S_xR-psV$(0a1!tFRDz|_Gw0rlTf%EekF{_H z?Xi0>s&M89RFTRn--Aa%;e0Tha31Y3&ez_AhtT&Q8n5^eB{<$=98pL<>g{v+BRet!p~1VDapj)Lv;CtUqec-*rjiV--Mcbt_gy@lLcSoOT&c3(1U}& zz(Wn73HRiMY@%Gcs%kFJ&8&4FSiGHoQG2ZuRblpil`yGJE-{chO{|aM$a%wvy}HaY z(caXg(i5eNwNs+%p@C4`MFED>ixn4s@_4iCY0vPDP2jJGi-b?E?pO%m@LBpX=T@vX z2ft1=jLRS6TW$RGBI>!#TP%ss{ER6to$jbtPk|^SX0d%s7cCsMRtmM9I2A7J-d;I_ zYN!3<|Av~@`2D^88PZgy!Bx|LJ$#qFGKt>H^Z>%=Igic z8fpaCLK=Z7ScO`)yA=9WdVlYBH#*0zRz*Tqzh%X>Tp*K-csV@Fz1`r8XV&sH~Yf##AWZSB``oST{5pll^p{aR_M4KK`@ClH43_Zl}}}{Le+^rzV@Ju!H?5;qr7;Ry>P0SsQ}1ARdzi*%<|P;1YMhC)d_n!a2&yul%2H)B&0hQTvrb)-;+wxX@bM34 zL-w4F1)fc0Uf(bL{5&hZb1VDv+|>bH_ztHrs{_RQs+b+>djn)LvnwC^y;vQ8!Mq%pnpLuf& zX6O6pcbh+Sy=@;WdVR)UV(_LB3H(MsIn!@C6~t9Xx|X(PkMfR zcx238-rR^0aS{iC-I+OJmLBMspW*bZnx;Gikv9nFkRU?YvRWg!L9zH%^KuCV8;3~S z9L`NWiDYhKAv&9q=FN=3BBeGh72~9DD1ts+oYd)+%H7dEEHAvGvHmM=+nn73k46O> z{q0>Nw2L6=AE=_ z{#qMFZ*27Jv}kKZXrmGQ3)ciO(%`|Irg{yt?}qsz$?e%i(@`PA_S}fqp**N9xg)=< zc!cO%h##PF9Np-Y)C~vgg|k>+q?lwJ*Kxrq5n-a!u2< z;DN;~Z7UdztV$cfFz3Ya7vAx4i+G7juxkW`mjC3CIfvJ(gR!)}oLEPRV1hX9n;IBy z5N4LR5v*QXQ0c0szjf(n!bW&-$WI^##D{5lD#yG8sHdb{nVYeG> z&7Y)w;m0~t_fNm$_nOFH%;7|k=E-S)8M?RK9OxTJ=+dJuiKs193@X15jPV4VE^T^9 zPcrHR&2Gr-gA&OI-1hMGT!lUJS&#O3f~pOXB-o&Uva++`7uevgPwY$r-){>Oa4)+dDhOzm9^Tq}DZ9#djl9v;mvAouej74LR$ zaqh--n>7bl3(AYGVW`j>Bf!H6*r*!|iI8<|bW_CGKH?o4$u%O{0OE+aCRonDCx1XW z2`6bie=Bej+Ry*Bl~552RZ9`y%lPR89Km{2aPf!c!os!FeA^d|DwsOq?9YH`*qsWq z%^1yFwHv2@w(QfnNT-nJl{9^Nps|AP!3K@wCD3BW;17dq8uju^dR5+dx%K)`0w>5y z2k5BHk&Vk(X4v{GTt5_L{8+0jf}epPaL?2D`H2Y2;{;`{uv!5oXYAA+Ap_{N^Vj)j zKw~k%;qeF&J`j*!JhYnm>wwzP-%xj3NsDJNyOeH%UH8PBU0&qLknc0e@;zhB0b{0K zUAex;j|Uzf>qH$THBdTtW%<@9oMF=DA$4Sq-o0T7@ndki3NIf8oK-&Y@7meRHAa8O z!z=#lp$#B{6*Mmwuk8WBK+eKLH+B2`+3sFAL~2)dKARqY*ucjp=D3}Cz{}_Q+o&u* zw&13_nHCp^)n< zDBCupMK0RUuQNd89c%5Ih_zV)3;CsUv9G;|2JeMQa{1;g{Rb3|i>u1ys@Pohyo$Q+ zercSOe5=bJ>$F05EoQ2u}ww{%_k%$;m( z+e81Vm4wgXJ9OuiYQrQ|nlAn?6KNhi66OF?hob#xN`cvtYz-nf#_r}i{Ih=g)(lvR zYQL-wypm`SPKsebg2f-=k&R5_W#2j5u5TDpv(3`X5^|xG6lssf6%lPG(b48F6JvKK zbpwWhH@8ijYBRl)hZ=o0J<@3DM^`R6;CPJQPvp|!galIV(j3;|2G+xJyX;yDOK|yK zKf`yK*Z$3i*5~m4*8ug3`%IheoRIS{HKO}gCg3c*_Rqz?5n5eSQF&JV(r6n{pTT`j z6giKIA5yY3dLJ`sQ7l#RBkGvt=3L==5*SL_Nk}&?ufqH@%dQxWAvE*z!?^VQUi94C z#kK5Xuq;9Q>k`XUuTN9X(Ci|f3))2gH{u0gxdt;KLM8pQWyBxPQDM2~&U{pj%8Mbt za2=Ox*<5jAtB_^n18AN+8)G?!LX5xa@*z>uOQoK7#5N%eeAT$=H%k~S4?ZV}a@cpE zQ*x+fZLn0!QY%gmqR97`u>%LMgZ-Ki3#%GMcxP|d zl#w0Ohi{0u;%RcnNr9s0xhj*iPL2eA37QnssQfyE(W{H3W0iKp=iPjJ37hIYsGii|RUT($^?)0DT z{qfvxQRCAHSdZ$^T)D<2zGnE?DZW#4Pvc7g5(Qd0O6LagT$fr~PnA62VgXEdYbJGx z#At&tl%@R|W@i(vfig^HSG~}YXG-<_oDRxoe(=-d#-%FM zsA>&SK|Lohwyj(|pyY@`eTz^XEpKvdCD!~sKS#@Bqb=FVOrs8$Zs2M*w49Dm7EUrR z_%Au&3Ef6>iH--))%_20u2VKB)W;?|OZ(RThFuHpWai4*y);YdzEA8tT%ID{I7%30 zHyrBmyzdbsv163HM=|Q#D|1dn^rPLvUK%Fzty8i#154jK8o;q0gz zY#<{^MkA_Z0-r9co;HN-N(Eac?z^8t-aq-?9vu@@Q4zWoO08^t;#6AfEG3|w4`^5Q;KS(e+R%-z z8m=!DR~S=-OovxK@az@4$hVT&sAw96933%PPoS}8;h zXdt6zhwHQ+P!!P6`~*)G+5KutkpJYe5_Q~dI9BNl6HjHXzj8ujpJmO>!4JJaoRd

q360-o>ZhxhX~}!rN`)`L}IX?of-@oP;X&b6nQyJEgBlBCmDcUOjPNnHn~H325{| z+{|J9Wcxe9HL_tco4r*tjynpX(mmCiLy`6N@g;)oL*xqbh0Fbe-V8_b&=sG*-7?PY5o1` z!$f#>b_);rPz=k1on9MM;d)9`wZr@%(;sSKPS^5S7gEZ#y&h(r2lSe~+sK}-A3v{U zKA^;I#;!p@GYvX?xvqUk-(76o$*`knZ~_04zb2BI+yGGK;>o)Xju{6v<*8Q!%YORnKXtD~%r7H}wv zv$?CvB6699!t4`4WGTIHC753V< zL9P33@oO`?$?5c_viZu)Mjde~8=8w6A2dVIy$MdlR((bxzegkKL0Iol4w32TI_ErK zhy3sSPQGdTzC$js6>Ca2hM8qdD{0$NYL=b<6N$rßTFD{wDMw;wY6eR(*tTy%n z!RPk{XBXV3|-}OfkynopR@2Y_DP`vx+lr*h=xao z$GoK41RTAP-I2{*QOq_p_}6+eZlS)J??KA(+J^tBk*ilBiu4qX6!*FN#ZKzTs4!^+ z&-|cUZLizrwE%y>5}SZ<77=z)ZZek7-m|S8I>9L+Pg}rGw@aB@2J$_-Wdg;&Oji-$`t_?m^-+=mHW6V?1w=*D4dag|oScRr5LeO)!0oQ9@v@baZwluoRaKEr zeV?wq;ewYBSehSAkIUe!omIK8zva9U)**57+bq*ozt;(_%`!xu)4h?J%AhTokdH$b z+|S+HQn(T!9}du{OeRa}I;F4;C5+Ge8+3+7ldDX>s2R(ywb`wB3y90P`sE_>%@4Au zv3D$*PCDiHfG$hQg#JHaUz8npUO9n_4|=7^Y*R*dx42l-_8BJ{clBk z;;b?R$dmWf@a2~EpC|q*Q*DVWPPR9l5jUvIelg7iB6{fF19r}x z%P9%+$raOprPwFFc--GPyzTv+cU$m0D9763Uy96f=q8?*22V-Tsn_KysU2DQ!-$KJ z^f-j?Tbuc!`Sy##4;!i6r5@DB_`>eKcN=6no`$b_aE((>nxnP9E6>q*=(O!J1=cwU zdku`<^xF}NYKAc<2Fq#UzxZ_s8!czf#walj$pMoPY<1@E&dxSGj`d~VzAtE#}f-djQaoj;8*TrIvFUtT{|bX*LptRr7518n|V3I zxBiAD%`a3y$wF>0+aE|A7%Zj(fpelap97Y);8vEIUs%5*jZZil_;?P0N^q2jp$JN} zd7=;d-i~m`xVt&w%Cbsj!csxM4f&|h>XYLVnycb^bS{hUL4) zw|S5?=|bBYICO7lapN{4x$QPNjjo*zRBcD_#d&BQUKV&%&hzztLp&=b>e;fx~wR9=G|+Osi;oxO0OoWrK0Hv}wVK-@wYB9Dgx%+cSq? zCtc4%_A&kf*frE>jP^&V0*d?g8%1)Zud7e?4{v6i%0gBO!#J6pT3Fqe)`F>@tL*F^ z07*(5Ve0t{I+3dO4eA>OJ50lk+Hgs^*fC}Zp_;E zj$Ak3paT@|>$=V*k$z%JmR%pRF!-y|PExK`o`9KUnu+8KqXkzSmEi&o>G@JV;4-5` zD9K=ZJ@)PRWD^lwR~3K&UJ4NTC-RTC${Akaam-;$3=U&oAuJ9!MWi{KBXClC&LW^# zA8S#LZ9ZwiU*u{{EKAC_qb!QMH5hxVbm~7Q)6PU3&(m<)wzoFAg|KJK8z;+b_Wro} z<6-ArUUXV0W1yaJ3`V`Po&)gMsy1%L8?eHh6B6fK9J3WKY!1BDy|0W2@X)rr2heR49^xsy@=k*eM zXs_A}*F_c~A8t&*#)U>rR;DJ(y3@5&wp6DhnKkZ|FFt?!o`Xg|6J3h5jO{@^^o?FtWtwk=^-Qu|Em&_nA!_eOHZ8ATdrJmdTWTVZ$vC^>J8=wAmb758yJ%eTAa`XSgUc_*H>Vpw{{U$p?{d|A zu>A<|$NRLS=HzbdQKEE5HbyobWNVIgxp}pmyt&iV@|6}hBU{Lp9eKO)EJ-|dDIbG8 zYBI_@M<4s_;fl~I5~R^Mg1SC!kVabLqSxsRz>W)q_&8=|Rw+$&%r9en6T6E|ePwjS zhVY%v^L|Ar%L_$q;3umoU_5sg;epilUwJInU7~HG%ahH21yOifO3gM48XC^iIkI$P&7Hbh zXfPD)%1e}I&Ca0R*+>m}GvpR5qQu`(+l|B&FiR=$P+O(*;;JN39hhD)MUdNEMH_f6 zDuOW`Ej0`eE1ld*#4dB*NEl{)l@?g)#S4(=!Db@iG;TH{5*hYlL?Wo>l4rJ(TKRbP zb@0PV)QL|mJ!z%Pgm`FFNT$cDIOUt;8`FxX_fvu9ALq+dKfDJ2017-e#JAPRd}9zH zl@>_iSeiF*7!W%#Js1Yl)WuR*K7%62EpHz1eorG(*48V+tR+zSRl!h4ReYw#FL zjdJkp!*3WMk_?Ji*k1fF8f9td<*=)z4`rzX!M|;eqZQN=LejR-b3IK){HZbm3}-J3 zTs8Z1d(noPn|Ga)c6WfCGY0A-+>a&jraYY(&Si+*W4m}bYj$EMMh>|J^0uP_K}R8d z+-TEJTb_AJEb0rmL73Wct*`2RchZJAVO5rqUwb;gvdaJp$$i~?^lA#Zgysor;I(;{9ZBCyBdi-B*4qez)YdMqJ46MZW z1xCOzO-#YpH93YUo1I$uvVfP=`k2qL?2R3{?vvNS@Y1 zfjm-46pYL2PH3|lsQ-8}vk@idfG zGg3)3dzO}+vP+jRYX%yPRB-IZSAv`_;h>Kt3~RU#5Q(x7E-wcM9ZBfqIhR!Jv^?G5vcHZ&zO+9FId_8;|Qst<5x#S%3JkXf(!rr%k zec@bnTgQ#>Io_>9+LUhc^N6=<`S|Z z@l%9%P8YuqCl4kY2m=rT0bz=o#i@-WqSmB4uxP;@1LMry9XjoOHOGeDd`l#?6!RrT zGc*c}%v@x?ZW>sdYh!S9fqysDwCeW8&Jlx zRta3GfpXqWIGl}SBi#{=%u^~!Y|669mp-EYU`g%=6}eehZwE;@KSXPY{vJFdZUA;+ z=H}Z~Y5BC0($5CRsH%>xMet(<7Q*LD+y(_Tc%Np!7U#6mqaf|emp7PT(@8W{5=Kz7 zMy@wxi{wi6oz&1Pm@`-7sE~$fRZR@WiyRRZ(td&CXPn zy+zi*G55Na>?Ziurks8`aA^sSOD;-n)IbzX&}m`0wq^@23wg3SR2%P8umJZ z%0U=SodeG$ERw{DJIJnOSGCHxP#dgxBE%7GFd2;97#?}4T1=AI7XvFY4{@(U{I0E3 zwrNScizSvLCTsLZ6^X6dd#{L~m`}Q8c5ZB?r0Ah;rDJV1AzH%cDdCN#tqpLi+4VIs zmG+rpQI{#*+D0zQG+=DXKp>K|l&dolSb5N3>dyoZ>mk%|mnh7`-!2l)WxQMB@br|A zRkzI-rxA_mk~gdn6B-v_jo`2YiRj2J+PJ>)l~yix*DLEf-zn1VY?c~v>c-KUpvnA> zoJkr+%r}f!4{IBro60bd&0SEEHDr;aSX!nymN_NMln@F>BZfJfrwafY_7zl;O4Q{j zTCSMYWy>k&Td2{T9IKfMoA3ndVRAN&Ci+F@TTV&^B?m|=IJvnN(mX~MXOX69BZvsq zHAZ35%)>40K7P$>nzC)gG*n#cHOS0N(Z()9vHq8{74+1V@yICbB;VRLrM*H&nosQV zJ2`Q~DF)hRXrrx!^rwA zn%KYHco<*7F`k#ri^sI-q8{ZDt@GkZBHC2*Iw56?ck7-kDe+$dC~}|!F+D>XRK%n;0m91`xvBX45k>u$_Gv(cPc4Ee8*bV^7Kkuku zg_s_iBLz)&Ea7t zZgC!FT>dWy=NJRt;r=h{lYE{nUK4}-O*0wgC9Sm~COHVjRW0W>!=z@GLZE|dS#f~4l z>*SN;aG{P>En?R2akd^EnNdaeDQTLAY|CELF5BK%H$%W2eW#0u4oN;9A^3ceZCYCP zhJubS{{YaMnC~qEsJj@#j+(c%`SCY36AEqV=Hj5KmnHf+t)=bRf$^VFU1UZ3-$7B{f!J9){2JnN;liat(@_ZjfJ$(FIQ>Cx_9B5-8IG|W57129lhAu>q{b0 z#j{f+RMg36rYFN`SKG7o-^pxCHtINcvKco}CRn1mzEvGcI15E9J*tW|jHY(*FQv(??Id6ZFaq&6}cG)TjVA4RVVd zRT}Bl5{^kk`64J+;OaK^yJy@XG8Qn^SYCErrFW$Ir1T9iCx%OjV#Ks$XFX& zCA%;(*3q@*X4bXJ-lusz6j9T& zsG3IItj0Hy9>m*$gx>b>qxVAzQ$1xKX(%JwDNMQALXu?5)owCc{wD0iyS>S1pjq;+ zg@a_!X~)$D6t!I%7B&dm+{B#r8YdIFd{$L4JIE_wqgCKNQD$OK=6-XHp-B*J)irFi z@$Y;*s>BQU@x$lFi*wz4YNU2taycDI8GrKVIg&vGcI!m+acSvO1 z#UqIx-Io@>A*Grp+PMlzB&nLA z&Q_DdBgWS^?Y<0)Q(x+gBrGaq=@9JT_vd$R_9w3aT6*?X%vDV7WzFGOc>4QsGZ^J( zsD13xILz`fH!Ya!bJyFCk-w>`qh} zY`xtD)5VOYDKc)vXVkzfqb;s&uYjImfXyy_ZbZ?ei}bkMYwM54w+y+Rx9^$PHj*CG z=RSNilEXBTO54Yg$!3tAB%ZU6V?Ub#(ixG*23vwK7OAIZ8m{_6-fQwn?~1S2^~2kk{{RN2{gUCgTLWm;qNa0OqS7yWu3|q3E;e^N z*xPQ5?Fk{KN{MKIrrt|nEZMkR@_8{Yz!ftu7Pt)BTm{8kG1AXMu}Wj+wK8Xt8*v2n z>xS{$=?+Oea%+I92`p>?)SPVSrdrNa-YZh0o)N_U-IRDl5;Yu>d@^wtemLX( zhqiz8$^QU{4Nq4L)YHe|!#rj;ON;6cixK#V)S;r{ZoS9 z)+m$CFNSY*uO`MYOp@-lWDrY{ue)_X7#|oQ@=&VEBIbKmpJ^Md^X{KEUoI!Bs*z!* zr-;iejln?2lMR1tx_I#gR0kyV(yZ{gK-n2h!LiehB-u5I6tc~l8 zT-xWo`nYm8kYmhoej^C`LWaJkcxpGu)V1phO1g9y7|G%|f)2Q>+ET``HB`CxN^T<@ z6ko4EHOF}t^YS?B-W*U@nD@epvO2BNHr`}lZGNs8nudh!nay6+`=>0MVnks{p|o&? zC!^B&xf{mB;y*7XjeT$FPxj!+Beag%v%6fJCzSd*Bk{9+Sgn`dg!BIZ_4ks;{bXf4 z_{-T6ub5$eEqxq|EKbqNo(za=%jL$KZ)^{PwI>7VV_mtnfAw0A;=pREnu@1i1?nX- zGWky?ZOSF+Q)tGrNIhCN3{>f$(l+p|!^ez}ZmQ1tA(NRGVFq@QZrW(KhD)Co5tY?< zt(Fvdh-tg9GQ=)PP$h0QCj5Icx0?~l*>`gK(F{6WzHB;0yBnyCu4R>DRUPL}v4&=* z5$@uWX)P*rL~`z*!8-K&JNRRZep_NVlRHT(h~r)18HwSAsWGUD>=pNknXlr#`4?}ti4{_&s#&iXXbTsQtt)Vd69^{ucr(h*qQ7zHd~yd zwBU`^MrBRoEuQMVq;_DWk+e=YtF4bDW8P--llEe!PwOR7=D*wbtPc?+s(g|#!k;IY zo<*sGmhnEQN$`hmHKRS_8c{hIX{Di%M;OfQ*f|~z{{T5zWoceHV-C4kTXX^87h(+* z>MU@sV>eo;>c^=M4mOpS=blVP1u)GEXNBH7v`58=?j$>@mUYwHC<9*K1bT70Xyc7z zYsVU`w_Tuj;#U#JD3%io$12@eTpvgnWsz>u#PLfqrdE+&ZSfWz5!37gvmUhgie!ni zMIe=KI>ETWqi7NsbKOGc==ilKvyUGiBy&LCX zn+}mh;jnDX4@8d@?dKT2;T`R1Bw+cb8A|JB?CTo%@X~>7>|Vf~Joq%+W0FxWT)Nx> zIQelsOzQ15M9n})(|2Xnnl-VhuQW|+=5f>JDH2n2`lxZ?7&mQkQ?2s;4k z9wEr1u>ku1yeU7@PFu-k``X)ZO`$Y@K5yT|ek+fk{P1zJhftt^exSucMXH$7=SIm- zCQQrKp4J%3k<~`r52-UH{t)5iwj>1H7|0;^a!b@~G2u6#8y9NPRP6?nXta?}6<$CQ zqOfeCdb4((81UQju*bwOJ`lx&xE(+?gA>WLHu`L#9FfBWTccAwQ#JTT7&V5P`0-h@ zB&jnRSu$^skR$|L8+B-SV$8+07R+zg$a0$#A-NciBT=~$NFy@KA;}fb7V!*6wf1)1 zJ64eG1G8i%j!IIpWzEsdPGM{7>FV&@_nYCq8T8=~Vv~Xkx0;j#1VvJsp)Ihcyf7{%q{g|gdRBw{bTpF1R`AENJ0sheX2PV&8 zZ~TH0aoP}H=fzxeZsZj;lFx1wju*a5)>e3=f1kB-$HZt93mp-)2T>9(7r|rC=>^x>$DhTNQ5= zYwabHQ!hS}4a-!sYQ&}V=@XB_9G{FhgP$4JkjgpBQsv&Nb>+U7@5K(tqS|w9$!Ru& zOG#HX0j4`Xnen_m@pV{o9`otI_y>}OL+m4u6<0YNV zl~o1X5xt)*sM1Ds8G>Ion<>HGqc5to1h>0OW*$q7MSdI0oKyTTP9cbJjv$_4_p!;< z_cJl_OK;x9gWl%zAM?PdiGYy-9V8B{2(blDg-9e2bRgjxo(P8N8Ym@-f-KN03ous8 ze%~o1Sdwtm^NHky??_gUz}~t@_UswrQ*Qp;Kk`>}kvDS2>e*yl-p1PT0|Me$6=U5d z?=lF-i(bKQ?n-#+UKDy&BA#Kh>DqWfB#AtZH5NQ=ajnL^*t$Ww z834+eh++ni&_9!|s&}i89Aa99f9HybwE2B0%)e zuHr>7$sY4GN+FIMylif;Ms?QU_T$@mvDfCpPZick?~v=E#qkv)Ei6&fz_HOZ5boxq zU=8G17R{N9yBm@-Hh^Hl@KeUj@W^F?ITNK4Dl05`w@TJgs-W!QrXiAP9#a4!JmbN{ zTi7C%p^cGUm4WGI&P|S_<~Tty2X(`vW3Li?X9vX_H_eJjPYERn=hN?~T}OtKLf%ZR z^&ogVH`2zLy_uyf*XUk7yh_u%q8&K%fl(-Hdxr&8|2n=9dacTZhnv z3(25Mvm272;MCJ>`RX7O3Vrl68Phuu0^ANgCG(6uAlUV$ra1S{2i&unRPbwM;i1b&vbr<@NbLu#V%WWb%C{MX#ujh6>d5p5Y7DYdGj0Y`pCD}3>91Aw;f{8jIXSmC zTD{?tm3>XPHH%+eda*PEWoDY473PXQZ6hFT`FIm^>TjUeqkupf$8(f%)Z$a_P<>=vN1?`1&f`Kb?MGZ#NSQu4S#~B4u zM$KY6C=GxlD7nO&I-tgBWZKWR6#|>n$ocasYodCi8+Q3_$EYc}z!;OsiYnZ>%^hS_ zVLjGH4R4zt=_%RUN=>0r{*+b0Q_YPV#CC8=42_wNjj79F$lgyz6XA>;J#`#Z(#Z72 zn2MxkPzeW15wApH+=Ut2HIYK7?ie2oeSC@DaZeu7=QW(uXvbeg0#POC97`E?Nw=4? zDr$voaJ)F;yJy#Lc6B?~rDYVGK80l=7kEr^DUol|lRfhumHbxH=cS=;Cy@MJA)0|I zBdm-4ACF`sy)`%y$eWIqU^BYf7SZp-X~z{IC}gEcsOTwUr;9G`4sR~;!1ujN^B6Fv#BDVXY^`%apX2KD^8YnyAhh_h8N<#vxaN`07$Ffp~Tf6)JJf8^!on# zdUn%zmJRL?QSuvNWGYdkmiFwyw}Ray?!lSlrl(xnq%xOX^9~?n9xWp}2%m>xUt~@j4vB$LhoN)uWa5ECt;eJkuE3XWDPb&Wao;WAuAGaJ&aIoaQp}R&n zgWjKJKcoDH`*18k_$l_^7e0JMmgyf%=JG_5Jy;rd!Vv`mzo# zy|^*S(Xr?`_Mhd06UP&+^Quo6byM0u?#Bl-%v&*Ja!zP&%GO@NbXyLrB+nHzlScc< zrFhNb>1MF8wXc6UwXlh}h9Mt;yU(EP)sI>JY$cF%J)$4!f6EJ;HsM=&RWG?8X~IT| z5hGBDqk@6==MPmt6(%~o92QRQ%rrB?dM8+?L(%&%dNW7jQXG9)zW&}PiN34i>nta| z@_KR5P3Oh1Z$>qSQQn6df;-3h-3By@L)?cKXqg9WogYpvWTyk;)x8+jai>zm_daki zH*vGKx|^%;a3m^Yg8e#)MxIXGB{eE#16O}-DeXV%PBPR%9K)-4x3_jKu}KfbzMnol zPNBz%qX+B9LRgP@BN`$%bBt*N{s;MEq$ENK*fqp#VG7T*RHUqHt| zRsI2Nbm`}BjK9}`>MA?rTwUd-PZh~Ni~}`UKJ_5_?}ct}&K!GcgPZ2zg#l~_1sn(Z zz8`tR95KTjF{%UP$E?Nq81_70n;7+ozcw-Kc)v6;?0EkGHZkiFer#jbBK+9LtVj8= zjS)w3V~6uT7~zf_oH4^3F~b}&!yGv{V}>|mhx6VXyg%3f0RO}QDG&hw z0s;X81OfvA0RaI3000315g{=_QDJcqfsvuH!O`&XAmK1T|Jncu0RaF3KOz4ByNK+3 zz>q@LfSe5PBl8UKAJl*g%V<}4hY!TBVD}}@bfzb;G1`j zr0;yr;qyB$c6X1NPyU@#Ve~GI7F`&d0?`TKMdLk@oI#Ac~&F*V#d;1}MN1Ijh01@*Hx+;jblOOS%{svJ^?gwbSjdTHY2Lm*I)tbZaKMG!YYnjS6 zYcT_cW9~ImQPbTT`o%@qIQ_2@l)BSS4Q2q?k?H;1cY(urc;|DNPd}9p#Ka#sv+V`@ z$l8yCultA}4{ayBw(T4h`#hzaRQ~|hN|_!xFN5zfSWWdm#MEgTE`0w0U&z`$g#FFA zoU47VlOn9Pp)CU-Q&qku!>GH<`yoSdy*V}OxIPzYm2tptEKQZIxaXGTUDBiQATdj? z;Fkp6-kJO+(+=|&#J~@zZ)rc-Y5=yhIXV9L^}BTh)A^M964tFP@&)}%nMBS4mvAaw zOpPB9$q}=x{2$8;vQ?Y@O@5~h??d)LUh3?Z?S*i8{{S9h_4xi;a*FEw37wU`5AaGi zso*4EU8K$RQ~nTi`hivvlGQ9ePV>DDuBi zf#`#62iv?041WUa>+UF(_Bjph>Ypb3rfcIUFPt%zWY3&pE+f%S3}xb1EttZ9ome%Y zWoh6Di}?X`4>}hHHbRM8PVKg3d4kLl7uMC^XtlnSh0B-ui!Xk0FVhza0d+tYxne4d z%UT&m)qOZ2f9%Z9tz+TX8btZF%6gM0WExceXTc7T_otB>MRg1(zrs zvO?_MmbXGDL`33WfYfaoA4JG8MlWU|cA~0RDcE0n{=Ii+A26b??I4O+TCc$~QCtZh z9-A<7+^EspB|TxRN-EewGO7-Jn^2>Nbtu8pMCY_PEcaTO^Z2azumfRJa0wB?xT+1A z11DIwkb|-B8>1B7D3?-v^6n;YE0(Lvliw)Wqk?pN>zF$({&%b5 zpFj9z@2D*+HNM2TMN@+H-K8WFZnAf4hz5NE994#=r1DWtv~vQuY_@0RW02+Uj>8Jky0&R(3KT)+4i7`Vm7i|AQ#g^ptW*ApJfWs4am=gxPIvbn(qy)UF; ziPjJI#M9!$&qh8OeG3#OAKb(L0DuQAf56kxev6Nw{t=6d6Pf=22z?|QR-x2qoP$^W zexk00(0V)VAJSI5Q}e`D7{M_2gMRZ@yq7LqzeWE5z`6ZbqT}i=FusN^T)_yps|U2; z@J(*Bhn3^UG1BNBDL3Sg{tZ;Q3CTAkWd<%G_FI=NJ6PD&c{mkB+ra*Pxab3bzfq zAH*iSf1wEKhEBKt0H{=7G#B`nE?m7!=3Kdcg_ka0iE`!sW&Z$bT)BUVabm<>ui$3{ zSh}~te^Gk(c=JX$hLcgv2t5+9UuPPt`_+M2oto)i#N5t)IsX9IMOit9{TD7={$(x$m(nb_v1P@Gzpu(M%hBh}C-pAT%6Lp8rP$2?S^5}j(Q9!O!q2tC$j(Hq z?)^-f?0>J48;`#|;#|3M?sbz^xpLyiw5fED<0#9YR!5pMIkEjg zq`H4F*Q3GQg13wBN(Z#u{`iJthdmC7{bpR~m;V4EbKx($Fqn0jsh083}wccGY`r92UF$B4g0{wL|^ zxpL*p{7aWET))h@ab?CAF?h^)i)UZ&Hhj!iWB|S8HW^jYnGf2-PPbfX>F>Xm440*Q zHvmq`^HwLtGy26IA4``~<;#~YT))J*a^>P*=3Kl@qfg>LhoNRBKa=~%aJ{czb2(mP z_WuCF8y>aJo`a{BeSb2bc`hd4UyLHQ;ZkDqdGN*5O!4Cgt1Yn+5G;2mb)AH_B;_ z@A7|C4-3$#yALyei=XRNKIPZa-w$U}8)xVE=eGX6bcrU2u6KK*o{Gy6df}Qv2gILauMq3%RGyj# zTBm2v97{Qfqa@D7NjfJPfy0)1Ej0&DC-(6<$Lc<;p{`$|FioeXgfcMeWk z{{WxN(H;fyvkiJ-=VLYV%&-}^YoPLs@ey-Zn-NYLn;ei}Rjs6E%l5f@Pi3!5x|QDh z?xhvEK?44=@T>$kK*>NZ4p9W6sH3ffQLH|jb4<;lMI1nRd512R34l@-F@om6U{BoQ zrrrZem{T_B=B1rVtxz(ZPLMe59BSgA_LjzeyIH|pGV)4yLE8Fd$RVn=1gRBj=DMfA zO-m$S)IwgRC&o;`K14Gr&|_O+jHg_}$9i#yq(E#Na6nKB32S*Rri)>omGuSqf&dN_ zqB(9HJ!&bBl`7s~G~kYWlu=hvtv@NmD%#LiNAyh|VvRXv*-bqz?EYNk=6OHgNhTBJ zdyPQDI0Bp~@h~A9Rg2ShnB2{wV|JKwa9_t*oc-_(U(8}9_X5Id#RFk!%}~AuaSsdb z97d`uXaN9r^WIyt9%iZ!UJJJMR7_RX%G&C=8^ooV6gV?%U`J+(6>3Kfe6AxTO4_tC z>a^F9Yp@n0P`WUOvormXmZeIV{yG3YS#SK>Y) z^tsdB@ZHGTGm-)jRt5!81Q>I0E2!?e+t`MvhM@;1Xy9wOV|2_gS=7Wmim`&su%e|G zb$W(^yrAlvN<8oZRB#!W;$Z=9iG`QTC@gq=N~Y0NLZtJP6kk+5gE%@Yq$M(Wpa%i& z!D{esQi-xydpL0cEpSYmOXXPAs%%1?2fpUNDN9^|i$|x5sYp3!t9yIEG`m{jQDyGM zA$~I|H~49O0dB4C@V&{z=rwQ|$lSzKF&h)H8n5N=ZeMW^3umKA(h?x?EP zg57wF7P4t5TOyEQwS|^$wKQRG;MelgM}!h5qP^2UXt_0=YhnAHXy&mJ7HqKiXg;Z7c3B>G3bcc7O~fu%F314^}Ol<*;B7`z38w z*4?(olQi}S!9b2fPiVh)w3M)Ulr^y;t-W`h&H}I<>NdOaE$4{zV%xQv%jQ*m9ko)6 z*zm>Kbz^Nxp;H;gRlfqBGLSJdyBE?unpIu$gsXw`@$d7e@n z{{V5h6>Xje>&*?`5e|DTmxH>P;YaWlDVsfkU5d2AZuN?GWI(L%G=2%qo+N{q~U4@D$oV9p! zfegjuY{!;Rt)>@~6WeXjd;Tm11qGSTRppJuGoR7sQQ^PzWj>(-`_~Ty+eYh!W_xmk z6>V4MS5gUcO$v6=68yz6ehdR`AE|hznYX86-)Fm<1srDoMF#G<0LvIT}v@e9f^u?nAAZzLY^VND$#NFKLcugjn%lh zU_3%UM(Z@(fa^4ts|SXX7BtXm-7Pp>2FG$-lZg(U#X2nhvmdR+ z_;UG7t@1zQYvMEXB@`Io5#3A3g$EvB7VoJ6+E-XsjscNNRlAwhAd|`Kn2Odqr2<_! z04fz$rC(r*o?wV3drl#(01FArpJ?Kn9gkr}HDOpl1>Q}RVVJ-JTMy^aIc=?=SmGVd zHj=>zP(%p(A5~Z2foQFefhaQxhF=XTxNO-kQ#9j?x1)?eYQ&sCwqhuQ(ivjQ} zuGjT*8M&+|=?^rza1y2E5^Z^MHu7;*FYV*y(fiw87C6-W^{7`oYM{13p_ytq&{QlYDa^mPvo$J&RMO1=U8tP8%AiU;e9ptu|b#U=aEdVxUCf0>f3Kq9Li@+c~2 z)F!)``}AMWd&ER{gV@l}o4J>@Y!rqOm1`yB7m|7i>^qIDXGr$f`6H8Fz zxAiR_W%g8Be!8ZkHSIbB$=Uj62vCuurv$7*5?ffM>YSbxf;kEuRvi#S+_yJ5ogTt0 z8Is`52%%HhOC^+ zp~TiBnes{xM%Hds8o^p{=7O%Ss!`G%Gak{vRg3ZlJcrJP?RZasu;ba38v$4+>p5HmwEz_m7O`@v%6y zMN24u0sj4ZglMBGksT$UsL|UIRXBq5i-lLTTiA`1DLo-8Zxtes*i+FqPTtmuooh|>uy<&kZ) zYPVR3SQ-Oup!p$snuG9RdGO4>O@_yr%u&iz?#h6x3X0z`P!bOB@ns!z(TLfdf9hZs zVJ&+&G2gxUmg@@FXdQYX^E+Vsy<=b0{{VP}?WaZQzfl*@XcwO!GZdx04oonzJs1{X zA=ZrHg1`$^iim-_(GuN;1T9U_1Mt-yWa|B~jyl_Dc~YT!rpLX{PZ;E zIHbVTTIrP_I~i6*+3F6RqS01F-A(J_UERDiAun`5#{xHeVpbdajvK=gg<+Wfs^2o^`5JcXq{CHF%7D{L?qg z!urn&EPCM%>Z}NPn+67QuS2PKkkl9AQ~Xb}GUi)QTl z>l4eF6GtGyyy@u;COD|~Rypt6E1NQl-w}3c<2H!r4?>k)qOMbEcVS5eXT{>!9Ks4o zUwyp96S{O)oyjppD{H9=A05!aYtwa+hg_kBUF=Q3F^uDkEJ#olBZAJPOhptTahqhf z9#MYQT1s|>FxNEBC-XDjA?x6zyFt^e>Q#rV0Iw7*Yx`ynmZO;MY+{VOjR30@jqeu37EO z{Qm$Ry~g~keM^^hMp?jV^H2!gl^nC*itqO`)$TAFp1_%Jqw^C`_@AzIi2asWl?S4X$C z!*qZguvG(xdd^`)JWz`R|5bE)s% zV42!fg#o(4`B}-DKql%JvL*^}fNt!5u#`9>%Y8**88eP}GD!x_G?|!}WP89=Ug#tM!L2hC$sQHKJ#n34 z!tNztLVnpqWa8UOSZuNmF$Ts$u4V~zjg7jYa?W3dflw?trHhLLVyrJE6@|lepnaHM z%e2)*#aQYk%j%f9ehmi$SwmfN&~Xl28*;eEC8Fs^5i4epuR|vbrbjuJEMWI^Me=o= zE@l4!ddj?C6%S+fBY*30>cO2)m|;dR<~u#53mPQ@K4T{>n8HP2aDaD)$$gvQ4g7hW zqyyruP-T_s5s6S1p+y2QNpg9sn~71%YSOQyofcvWEen)tqpPbVDO`6puC783@*Ihc ziIiP{wQz8&Rc|m+t<)tl=R-zEqcv#>DbdkIfD4iW<`#{zzLRTgaJqx}NMPq$X%&P+ z5MlQYL8j(}Qf4g8Qir3*HAbm+FI|F-5WLYsC5}B(T81rA#}RtLT3FNBj8&Op=p0g34oIag%#KM zdyNDwtZoNokL`d6bc35nv(oSa_LML!<)EZxHrCSW0km0iQGmY827E_X+CSVdFxw8S zSx~sPgOoBnTFacwbgYh%8A3B#AoUSVhzz8lEu;qK9oZoPtQ}MNjVviaKtXuU@za`_ zax4WJ=FB*0#O&)5(CXxw+(mHe&sv}KgO`~8f_6TM4mTh&e4d!;%1mq3ErXpQca7jm| zwNOB#YUH~i7gIjuec$F^I@jsyHRMmQe-Iyj{6#*CRU5-p9@qf2sx=D_BkkfPoSV_x zi`9F^w9mm;L8~_Jd4|l`}sUasHuxZ{w%*1szN+=L$G(lG(QbBT! zvBKOz#*G)GQ#_Y1s&*T*d{J0hav{gOBAk|WxPED_^Ujy56CNJ(H+!Rf5nv9cF#y{@ zB)<$|TKrIk+O8st1F8&~3Vu<7sBlVodaM?n6rh&s6I$r8Lf-!XM6esJI$~x7Jrahx zZ%}G#4B7e!1gv|TcEf&V)mHZ0+4uv`!qpn)vvC+fRYkWM?3+wXOY<_IUfX*xxN{Z_ zaRG z=`wvkZ2t96se{e$h+RJjpHshh35?>3uvAr5SvD)IV&o2-6Rd^jvcV7sa9gt#Eng-U zC7#WY1SQ>7$(~|>wLoH)dj^J^_ZqM*d9Ci6n;qz#Z&C|$5QU}a@eX)rUKK=DGNX}= zGTL;oX{4})Fs>wB%FL0W&yV31DbDpM+#XCm@lCag0qAuaW;FF+~`Dx=%8 z*pV7(M*x9puL7J-+q`C0?BUGfZ4q~!>Pk+EszAVo*m(mNV5R)XAK}SL=15ioy|=8Z z(F!VYPk}{tIUXhOR<8?imH?-{6%}`BnK3!t2HUH8Sz3-!Mn=K}3Xce-i=^kq0CE$+ zj2A!{964VEyIFT7MCjl%7jFX1PGekpmd0fTmEwpf zDb`+9=2|eZD{E~_dGiKh2ABX%0CUJg1XX3~wg7{G98OL>KbBq;e{Xn&KB65g?K{R# zcKRlBJNDE3X~XsEpq3BZ)5{D`>oS(Elgm)BY?KG+xrMP@VAx!sxYrOr*61LyUUalz zyd1ZWr~-|(>C}iTfHmSITjOz`Uzo1HsuJ;Uwwhzt_=#|MZU^Y!nB`rij3=J6&^2yX zL&02N+9Z&GjLVZpunjOR`}f;o$jDkfq1N+sj(iyc}8gL>E$@5 ziEs>E;;&}2R>cKy8Dg-4=-Wguru_?q8+<}={4kiFqJXXqsToHp73N{c8icnoH~S_j zCv?vkH_~~{%2nLx8PaYPouX>OSe5$YunkI%u7t4cX2xrYi%mP3R~FnMZm3~)i4^qE zs5Uax$tiA~>yXHvTN?*3^GOSUydo0g>F|6p1G}3nTShAswN@n-h#FdgO+9rF_5=ouC2lwxy-&}1y5+&X7%%~NPY~GVp*J>en&z75$12cu46q#E2=Av2 zIVNhF7ACqS$456Q#e$F*kPe7mkc$?fY%&U_6iQ(=Xk}NH0HT#P3LYIDScFDu0|mLO zL`N{#=~_2?33sV`WjY|awNcQ=e3Fg4(X}gx2Lq|Qwl=u>mIBOM4$a&_9spr*E|d92 z1Yqibr$ykQT`iznX4EEc8%cW7U*x6E50u#3uSjvSr%)Hi4ufs2t=F3n2o6m(}1v`y^IIhXf!CSi&wq5Wkb;NY|hXbWI+B(^h`(jg0_4oxH=EsH)2 z^e&(Y?8vk^2bcCg;_}WTtezkB*X=rFx%HhtnN$oQhxY+9(qcb><}8H$P946Ll^(@trRF&Lcm zk0#Q9X7Jmi;F((RbJr}%y29SIGIr)$VmdK1DzSO%xzILTJaGN|dK69)_i_GW;cCy2 z{{X~U(q78_&W-vc{Xxvi{U@?+1ef8Ap3_171A7#oPQpGW{mbkSt1{11{P9!)I`BfrL>yMt%f(DYTCC2qDtjr2(nk+peYy;W56|RJrwI4%0`n1Cm&6skDPyQ#|2y%c31+8u7BX_YVS&kY^rDv?q`wysfOi^=07kj}3;=um^ zUa^ocDhALitQtFjElkB|0hB7!k(|n(9yCEP#0t`To1VuO*T|?Bt)m`mvdXQltgYPo zp}I78VhF6V&8{bNjdddAi%-u?e{&v+DT=wT>(T!J8blBERec1rb9N`NFVtvx>ln!J z$D+ojtT$-1d_q%-Esw=U6aZ$8;%ISQrK^^2V1Poqt%&4~oaE7SP-{=Q(j#pUU0z;J zZk&{>8c3E+z;-exE$x_6)5nzDZj){D5&H@^Is#|uPJKY;7zVeEPYSQ{B_y8MQnTD5 z@Wjeg09N7dm@VHh%GzfhYS(z~vHlVrI=OdIGBZhKCMIUZJ*EnV9RLczw#Xo`!FKB< z`B%FzGNS~Tt*V`!j*~KHS?oY9FcmeGPK()CQtWtgW>(v{n=4DL<-1HaOm!C?!qUc9 zKu;g+sHo3;LW5*K>gN9d;elO5dtvwq!{D{oDC#p&fm&gDo0}xNKG<0dyh`(_a7fc> z;?79ydK0w{||W0+s3j5xQc8^GPGnz6#^jVt$}BW>?oP9E3XxQt-{I}+7^Y`q*- zqG>BA@Fre4QFdvv+P4u~<_^z7`f7n?VjgLcac*m9S~U(+mFp?O))Wm^(V8UaUMmqj6VBLr#=%`+dv)62V_JYpuz(IymSHOJ-{ILcFVtH&f zHQNlvz9AnK8Okx_3GFBosn2i$x^iUm4i>7AgQVrCVO8-yNb<>!rf0X@KZN0yu_QWx zRq%~JSc&YY_MH3(zVi0X00b;<_9C=gu7!GbU7m4YsLuJQbk0l8WnfL`C7q=VOGZ;V z+&5IIQ&LI>deYV@>=Da3Ip@h_NUoEt8VN#@7#1kcrS*0JSBqj7Y26e^(Ruxm~y_NVa~ zVTdT;0NVi3Lx8Dz-07sO(XFF!C7E1f3s(SI`4EW8jbEa*BzTD`vJ!y8hH` z-3(NbDD~pDsVM#9{Z6N|{8IeCh!Wg6z{{*va8*hPx6I48z17%`3BW;{Cg<-H3-!09T#^N^< ze=&-0F|8y@Cs#-Ihz`=|G#-T{(9Irhk#*WvZ5m79!R;wdM|R4u?O>4Mp2eh zT{KWA9`hB-;9t@v%kbHqT{7<_XvihCN6j!kJI^Y*!0kjxYy=TI5qcW%<0o>%xU0f- z)}BPN1m|~^>lqjoA+=gP2+;t&>!8aftvgmKM?-HIZuMEt_YvN6vxoq0Q+eI0ywbBM z7@I&<%9AZ>o3c8cMn-bM_QOE`0G;<8TnNWd`v{6YY+8lW+kup%*~!l^0P?7A9JJ(r zxXYsURE6g(E~;aZv7e%ef^`gR)5N!C(hUslK>~NxS>s6W07r~Nte#xKfU?qsrNd>U zjB&6)!hA!u^PB2dzOVNt9q>#r-j5ObbctqTdiB&DH3t#2=t z<_g6`I1EY=u=t1Nf0(<#1zgW{VW1gH#=DWD4oty!3*8TH6Px`QnTK@k%k92g+FrIH zMH>Zfg8|rq{`R3XgZdoHj}EhB%5N)ep_lz>Fk~EE0^&ycp0HdCpn#7PUFx0_9bYVE(_1alrnq-M5{pPgj(m@I*80rzpE(WuAYVYSqJiqLP)`$s z8C6>GSK?(=ngK{^Dl;0DiBn{{Y9l?h^|y`^)Vs z5Gs|IM;r&vh72OEf}lGG{W7PzCNy`)SEbuuh!r{!?9=Q>n=dq_^M~AVi_eK*hh2BY zW3_?D=g*-+e)A{%^_A*oGXDVbFcp#TPqg(LV>LmJX$Hr`SZed%6j1wsP84M>!yhZ+ zI-#3XHg&ri#)-dT0o0_SKkms3NZciFI{>S0+dZPHD#3r!#H(I^HK*cPQumL;FED)- z{IQZ&_nd0D?a14<{_YItUuym#&3;8c6*gZ=>Smw&$>fXoDC>P!)9w%0ZT9^jC8Glewvpsu7A*#Yu`1j# z{{VZLQf-dQ=60Yw9}yk%w$ErE<_FI5PH?)|V|Ja9GBkFQnC)e!@;~@Zdw;hOx1?4- ziWuK#G_F8LkKu#IhKWY7`iIH!0R9M1;!HRaxJ6>7QlJLE`5^3^G*lkn6S6+j`pEQh z=^yM)$F)R%h>!6r(eXPEh!61sWAT9KJ+lMQ-y{>etwY7_J1JLmq|^ii0RI5+)TvH{ z?Gv_6-0jZH>?dY+=Vd!Yr$Sf%08jtg01N{G00IC50000G001y+PWQwnfbQ%7000d_ z{{ZndzRPZ+NWwe-7NXewz7{0L5yy_C@PMeN1AAU9q{|c9(-43S9aa-^KQ@I9m;uM7 zf$^jRr2#A`3RHVFCO8II=*ll&PlF>!Nzf92>@a1?q3aAFfLd@-N)Jid5k)P_KyZr@ zSODQDaKDc*jUkebq6{NX9htYFdVpp9#*~N#hV^#H!VsrorK);+hmg}*6f>M1TorB_ z{^w-PwY%@rH3{*#pxNghcq>evB(@B9#AcSL1S>g}_uV29qFl2}@znQYD+KUD6@UlT zuXG2oZo4s%hva-tP%RByzSY+)5;Rg8G$MeTjAIuSa#)?u;vNmMpjj&FO!0AqU7xD9 zQ?<6DQ?%wK5h&Su#C$lc9rfZIf%v>U?vmswFNGDp)w7h@jJT4`!}Fbo+?T&r6w!dE^rUvZ_MF*Ll|PSvj-j?M zL&kw?_`mE|3d@?-8V@`l96$;4%jQkCLqh0rzy`iqF>Uto7jH?euo;G@6I3j!e3ln* zO_S~1vIZrBDrqIg-QN`OoyXVS?!9k1LI!kc3^`(jiL>Yq41*yjvLeyEa)TWaBB;k|4vxxa`2EsJcjK_3Vu9vE z+c^^(v-Ix}FXU)h`<2Ql{{WQFAP5KRD+GZbaf0Fr#>W$g9ZwKYdSk*-Pr@FNIbIf@ z0DqxmTeAbM`AA)zRInLI0OHHzn2G?~N0in938U-b$Kgu`)jQg@h!j<=IodfJYwMrw z0Gfe?{Thkfvcv}_^|Z906iXhdiGLMO99|_zPLrx>d5iiczci>4hCLY6}bs4 zK6n9m0fR8~JJ>Rt0<0aV`T!A*v$6IL8uWjjV6J2Ul<-Lz>Pu15`j_Qv001x$cN67c zT`WY2lEeTj6$ogfU38WctE;g91D*NAmlrFP{CnXg001i$FIbpPnjl)ed5{1Cf7vkA z$(d@@=+#C*00Fl(w)>7*_u-ENd;kDV$KksLs*<0*O2q&G007f!;~=4OHk@4m00000 z3NB2^0leq{000mF!~iJ~0RRF50RjdC0RaI40RR910RRypF+ovbae00;pC0RcY{{{Z1kXxy_`F}sv?Cy4cy^e1IIPgpL8tQW#~iCxLja7_amz(^S z46kT&S>(WNU;Z%d=<$DCy$fYxw!44Moem|10D~7_1~+2}3gq;SU(qjFKD$cBhC9E= zs!?8XZ4v6koh!lq&W-8*z!|@k4axLBvn2!2{jhbh>Hfm%4^Q@E*{=`wX2|>J3UV%6 zXq30@jbVIui;Sj}21egVmd~UYAt|fRj1+?6-4D*NhCpJmY<;yjh7z^V`LK z{G~bhK^(7Ht+Z1&Em@rpiC|1hUI*Veh7Ye;Hg)rbD&gJ?-LJ+`ys$_$VZ>JfE%)v} zHb}0l5OJaXPY8oS9=pEWRINd3-P>vdtKrUSQnbprTIFa?3h`j)isfnQfE;OgbV8-) zR)Do-nboFiqDm+xMqpOpQ4E&Ow>Ox$=9-iOkaIi3qbTAmCUY7JhAmHCe5MSK1;2lV zvcc6|E`y$R!+fqdotz_1?pr(AjMR4*#keg)8D|J{65drT>-v8$KD2znScQtZe#qKJ zlu%)KyxnzQj6hRvmB%lJh?%R%etJvAO4aSQ)jBv^>l({oXwgNj-BE(J98BRL)oK}4 zp!JP%zXsx9zr0Ju5L&pEPGL?bba<&{eluuB?&G^=0hmNa=Z4OuQm40H_~R zfMt@mpzYG*0{5ZR<8+`{XVUEOjm-cprO*dXDD7Kjglu!Au4~S`S%4;UOS&{Eo%G{y zw$N3|U@Yk>>Zp&< zCyW;uj`KGtyM>r#H*<@d)N^UF53FppU)NuJ;}p+UtJ9wcQ6~cN9JWQ=FDba*TwmlX z&j-sg(FD4$+_u=MVo+*PF#EWXf&^*|tEUcjbo>GaNaduwxP|(bV1U4B7HjZSTf_qq zW(1=<@gl}=8t|933z&jv#5EU4lxBeY&*fUXAGmTxdI_~+9V7cKrZi=iW^7DqrDU`l z?j&z8+{df* z!Sc#?G4e*7G0tO!n7~T1l3i6qZkbh?j*)srve;Pt?>mzzRW6k=Fk2NIw-n4qsx~nY z#KDL!NEj*10x%dMc66N4-{W@mJ|zg;68xXI<1+v{6QGo7GNiR`5tS{CT*XB-_^KmA za`T8;87dBL14&Yca_ip{TURWM;-#*q6w6CVaayE^;#UMX-{50gmqsQ@ zeav=azrfdud=lEWay&nA4rU?Xd_=5lG50fI7*V}Sc}lys4EBxca59crm7w#7Mi^!q ztCgHf)One80Lv5_h@%MYfsDgZKr&`;PzoN=Fobvb!S5dug0@+|6XFWgMIHyi9*D6# zCDukaQ4J+xm}3!fY)fp7Rwp+!OUTY~DyDS;+*p*%rMQE`slBq1gJB0IU2Ya3YUWbJ zJNz{Gh|=BV#>spUS{;vw`$wearu8pD6(<)KDOC#GDBP-L#R>$rt~3akyv;CgE%!}=fGYTjQkRJJ!#s&%8{6v52Fgu8Pd6% k--9 z_D(68yJ40BDi?(9F-x~Qe%HB|+ulO`@Z{8G^B{1H6|2fV z{X|e8p|0P#sknANA}(=cZJ46Oycw68{9*;Hz1%=|F}4^;G?8dMxk}S0Y5{h`4kOp_4a?isUXZ=A@YdxAEWio5 zfn+SAP$cN7Y`*RpJ0rit=jn5j8w02NFd_am>LLQ zFmq6|di-;kH-lM=j6f4Wq)pdo4J-oWf&$=SePU)I%M6{STbpJZgj7C>5FyooJrQEd zUi~6sb@+S{No$0&Oe@YU?Sfbm?VrV#xw9~~7Ohkm z2ZSC-n7K#^f)-e20g`716md4$I3(dV%*E1RW2&1&a@6?0EVH`>VXBpSm@^i#Od-#dclc|;&&fHtT0_^~zl;hgoMLM+SUHH@ zO+e`>a?CL+h9h{J$tv**9m4sTk9ge3%FRx3H7c_OVukIE)x_O+ee{leNs_t8%-ivT z_`fLPiGf`o47Q~e6|9+-tx8rI`GyvloB~;d%}C;AF#)6R{fY(= z8VtmxiEE-~6*A5QUQ7n^M)MolF7CL18D}qVnY1?@XT}1R7L(lhsd!Rwvi9Tyilu)* zbKt=&*KhavP~U{10!3Bf?N%RJ@$#0ZlKdN5>5H!Q0s|`Am!@%>u612|>!~hMoq63C z(_A-|ds$wAaBpG#}nr3*^X{{Wdk?!R*#_G{jcW51Ql6|tZ5+GT2r=iS1^ zaoq3U!`i~|(Dr`(e7xlp3&l%LVEnh=wf5t@1A{-u_;C=^_tpFdD=@j3CT$JFi{4lY ziYejpO0j@)If8+qsJ$bVUwFp1lhLS3n3r5i3R3X~)b5KHF>7*-kmbmBg60X#K<6G( znz*v3tg|GgmUN&sW$yrUiG|F&1I)G+x`AYkLvW`&ZfcSPW%iqX0q*@g;zW;V`69fS zfdfHbKiN`-{{Uau6_hZ(a=V^kUsYxh5^~?fB(8*ngGHIf?fc#~F*2h^`7K*95j49V zSgQNh8al_AY&x>t2ulDXgEQXSlvF~o zE}uz*ei7U^7hYH8iHvT0C&>ZPsAJAtT{v?b8kVqN;J0zhm>ECWX=59-7?vQ#e3H)h z6>&5{Rw6eoOSm9$DZIrcZjmZ8?51PW^rGxsLr%9A>U3pcw}w>4LB8REe(pW6vbN>tos6hhpoWawZvN} z*jJ9uyOnsp&uyG!gI#8@jEeOF{2Xq#al8#z z?jx0mBvgG(bwm}H6{v10R|=_SNlt1~$_phSaXQNlvaY7;Y)S&A${=hAut2xosEZvgXQ2A(B3rM&*fv>W;tlFysAR+nAZNm3Q7;n-Ox{zCTohoM zX4oLR<_$2T4%2VOp_N6lfF8f6`#ns-p<(z3)QZ`PK+$dbtMlOC2T$BsjQ;@EUc(2)e|)kLSn1eAGox|rN0BG7hW-Rs5R<;32fVyrfUq`C|DvB zi&@AbtQw7&jpjR|UTu`1$_LH|45Nqz;g0_R3aZWFhz7F$#8zm8z{Cp|9wuw2lytIz z1L+6=XjWkh<*Dbm3ig*p#5%;br4z}NWdH%hI0?MLIpQaGGHwmI?Jmke7J@5i1?e!9 z?gc`2Jj%{u($u0^9sV&baC}0L7s@v1dQde$qV6uAn?OkDN_DhS;{gFQZpwZe_JgD^@+hnk<)CH!;M;SsG-` z#PD&O0cgCJ_KUa2_XE4I{u7s$4Qnru=2fp?8x9%HFXJIQmM~>+hdOHQ^cLo1nm~ zh8pLw(pLZ)Ko_;gEfwZb8e@L)z{fwopVSB00poY`38hwxF4pUl%EOp60}dYiq|YMC zYCE!WMn!T#-lK+MTXSY1ZIH#nCEnvo^%Q9gRH0@i61gMZ3a7$v@Ti9$$P{80I{ahx z9GL$Ac#ho)d=u}aLN^)kSe5Jt#fd>g_uKc{7S99-j7rOP)78VZK-W~edvx{mm@Wou zwjc7n3Dp9_jC#gOcT=Xn@2toimwz7ey{N7u)^L|r%am~dp(__~UbhDDBS0|?Kx8K3 zuOt9&E-3m$)G|LRgRBQ#P!_LWlQd5zJ)jo=S8-eNmF0rF=pqf1TL51HF8reTh~l$$ z=g(*M6q{L&_z>T6LEdbLaR_5^x)N-%U6oPm1iP7xQzBdiaB&n@q%#>{U}wCca}a1X z3t7gj z^U_~&#eUZOM5h$5gP^(#?@H@he$>m0Y9OO7Q_;f)W{Lrckyp&|<6r8|-LfXoKFmEEV z9Ih2O2C7C2?yc~|xOzJM`=~66@W(GtC>FO+MxL%(l5__m`!Y2S00y)uK4ELhrGT^d z#tAv|$2I!vDm=I1f55z9a4@@k0clRPL6og#H(iLh5ktgo7fM$lW;F^exsIkS8jk+} z74H}F{{SZ4H}Jy+MZ+G%!0D|j`N6x58Gk)th-`G3Rz#h{Uxd=I6iCl zDJykA!^vCTVQtGR2yU!P072CUa~b~twnqs70-fUW=hN@qL>Kg#l)aBxfkP%Gg5DsU z?J7~r;wi(J*(jxAAyX|f){g%G7-Z_h2s|*OaapNkIDVqAXymILgszsw9@Vp&L(gmV zhjl7-S4|#t9~?t>HU_RKRk5Si@$0Ub>n|71{Oo_rgRLpX#lzEIxwu#9E}TJ1vcW3s zs0f!_dNE8UfgNkLXR_|&ySZSbvw63DY3(sWvWKyMG2XJR?!>G+)=E4jM5`@*?GStk zMqDLU{_`BD_M1p;Hw0+hWuf>tG#AVC^Odx4{Czy-4yD!+t+!QvZ62(0Ah!fa7fm(I zz6QJ)(+_!om-gVWoug)@c0t>OD&8!iR=sSPd9wmw$06Z}vuL8TKi|Ias0<~Z5Uq%s z;ZsVmw9By1gv)StBA}{Sf9w9itkhVr;E95U$N^djhQz!E6a!IC1*q!0hgu@|oneiW z0`Nw{qnh;m8;L>c{ID~MjgaDESXXYea;B@7>omjGYy;L(bvCRt0IakoM3yPFePtnC zH}ZbvY#D#DuvrOmEEPqGI{FHX0|9m`JyzG1iKL@ykNbJsYPnppjPIQNt#Qq~z}3zR z;Y@;T-IcIf0XP`Voc;3u0EEpA_A|flw~f`KUv=75dKGXRPcsm+)yf5>T^KqQ!JN!9 z@6&!U8+VHBT=&uE6m0vb97QRSR;~Dy2b&Q0j@KHkYEc^dugSFzugerk)cJvBaT`@f zw9X|q!XU1;{rkvK;l}4;^C@EX+3Kf45$e*c9!H zT$cAgy_f(-7!7Mo_;;DnDT3lXkv!!KL-)M5XQKUmB9y5x%OKrKDo560Q2P5v$9t{E zJW*-s5Y){z#KCSnFXwffzpv~}{7;#dGbGC~iFh|9Jj#So#WQ~Ho2qQzQnuyi!Uu4RMDf9vlQrW26|au*MB%mQRy&`pOkO3| zIDibJ(-q?YqE7VQu`_ZX@(SB%l(g8TSsAgurao7Vc=;wT&~Yy)Q2 zvAU~wC@$lqnPPJOYn!R?{{RS8S5xLz3yVcTSBpdSDz?S+IK2M=)%Jlm9^NP=^`CJN?s`H4Ez!A!PF(-98cAw7Fv3zT|!WPD@X|oI#_34)A!c3}eF= z>&6Rr92-ahP8<8_026!PbM<@nmB1YP3j2; zF$XbA0u~Yc+c4nyf3P?=`<+R07gQR~8~xX;W0&%y*^u5I71V7Rgxqff1vDH`&frhBwS{QAvoJzJdU+H?pU8XmOws+CKLs^K>w;1Ye zUGaT1V(wyzsuhCGrBNk-)F7qHWL46uE_yOwUY?s%6$Bvxd zV#14|#XcS1Y9>(#plAbmv@~pBAgKcmrdM{90nSx%wO$+l03%=u8-oB_ZD_!`e6ij% zP!$H{fs(K^i@a{5iEN(bh*zMrS|I=YGtPMb)%pfm(5u(VxYN*qDW8deJ{!w)IH zZsq&g>mQMNAl>tP+IhFO6^tt41^v~2qV-pVLLtQn#&L(L`K)rx<4;9RbXL4xvG7K4 zr0T-faeh!+MVka#Ffew@ba#aKUQ5wR76)DUH|f^5D(Q}=Xo%{D0MWZJ{=GclvgbE8ZU$~#0lr#1NzcnpGXV^* zzbx~Y);V)1<(Ra7O2>bT{YNZ!_=AQZszQCtI@Q%4jg`gLEX*L7L}_tg4Lr~z)XU_p z0{74(OpX`A85gQ`?)8XNgLomV#K|!scg-PcJ1HRB7?XOy%ClTbE~Okz-<3t)y-_ITKGe1xPY9)z z=L@6wFYKT%=KjpmzAD1dW)#>5E?ZLvZuM>mBm@TQJx;jfq|=h>GHK~DHDw82 zHfkNYY72ZsriWWB&N_FgfMJa1PMvD(Z&dhAXRf{JdS*u$S1%1ai6-b&XkQ1_!?*8-7{_gp%v8_oJdECb!W zUaP~itHd&dfq85o>e0t82nD>f~t*f)Y$yD=janR}(cA zyhA6;=4||mKSC0Ld7X&7veYl1`7c-L>qPt2^sw}SBA z8neA_KNfQ1nmb3&>8`3%Rp4Z-GSq1 zg9>dKFk3(C;aP1(d{}|Y6lOhDSeQ=+Ka&+@tKE3aU6PlXdJ*5{s5nQ_8ACoUE~qO6 zz`MUO?8CvY3szn*@5-zfLgCp*4tS2H2Qe|3bXshiv+4G=3v|si%8PD^g7lB^`;e&y5z&l?tvcq}Qkm`xK zXOQUO91i>un07h{CEym^wSMpv=d7W56=`&#@VQof%NwoMc&d6hmZ2_%UVKpZm#`}G zc;U7+t3@CIQDKn7hw~elx9BukU}~YqqJFIRROV)*QRyIaXe(8V8n3 zuJd&%9(5L;+xz#VHOUmRg4!kr98uKkGC91QpD7fsNUu(^d}-Z$wJv>JK5X6l()U=O5W? zb!zRkty>2>TM7#*y4B7txgJdAv zSMvQ-3V!u}0U53if-QwmPTr#t7Xl~u!V<|$J&%n%7>8r2^$X++Uc z$rO4@!3J{ctNi8V06!G*HHR}FH;9KWwSm5jycLy2@`itBr6i)i?F zTvfnsBc#%Hn2TC~WSxKc*eB3`b1Ga#BDr>+NKaA3DkpeLaBM{mFI6{YI>fieg}xn!9sIs3;*HB)%RrF< z(kT$KhqzzI+L%p{IGa=kL3h*sIC6QyCLqU@2htOq%8dbd!EUU18R=$us0NM^a2a~u z-wMR%EL$3tq#DF0Rl35eCrlOI0mTNl%da8-fW#<#Z7G_;$EwwPrOk6mEZZ&Gx zSi!ya4q**v{`L`WH|ri9PSEQd`X}D-c>0gzLsa>}sJ{j`WKSk=TyLu()_0CKF*OP% z{{R+MM=YwE+_8C$S8yC3Nst$wK4(xpe~=Eb+h5;^s=H4@B5v1j-XbtR_68Ysb(rnB zJeYl9xd-_dpdTqiN6rIQhzm&j#9LmGvgnu`99{{ZR#!~iG}0RRF50RsaC0RaI4000000RRypF+ovb z@Nt2$k)grS;qee4F#p;B2mt~C0Y4D`0M*J$HpGoG__94D1?FDpCAlT8s!@qisi>(! z0#TKLiIp=csbr`B03>Kd8wanhq1gauPz?C* zGqZn~1~t#D7AszU;gCX9uHVm?369dhqfkRw{!QWUUh_3~N@5>4hyok)^(uudPxtjT zyf6lu`=6P@LkyMgDMQu*n3TVUx<;T2Ol5bD<+${N=`noE2dhN&c5(vw}@eD za1L3nkaF1&Nq=V_>i+;^blQ4Bs$F@~P=WJ3zOtnrDhdVH>i+wNtihmwjX3<=tOLKcGW$laCgmv3&?#{RMUBB2>v2K2*9xgJ_K9kq-m$fCsbLC~ zTB0f?#O29<5{h#F0IsXw@Xn@bj#1VA;-O4HET;pnRNgMjW4Lq29?@%nbI(qD{e~-$ z8XZ)-eW>-6MH*T_62jveirp`sVqUX}c&0hbu*(rh z#ctwW^llBcUU~GJ>^XB7X4RhR;QS=AsC)VGIc{86SeEgDd7s>EN5A9#n*-vQHE(G} z9BK*Z`Ia!-pQZh?0B~qMgHa@sg|Uj)4P!qNu7c0!T~@yEXwO+gJO2Q%w*uhtb^iXM zTh(zaqG9vx0TBf}d2=8<)Ga>+-Rt{+hOvH^{zMvd+uKK-V}sQD zxchD)QEju#&S@e!xQkVf@BJ=sikTCaFjYN2F{?%f9WrNDe@-H>@(Y(u(_GcPK4J}) zL3?`sKJcq$)*j#0*J4#^&%J+XTeoy;xLy0a@hD|%^v@o~Vr9y>t=*h{{{T|e*x}3f zRl~rleJH=re86`$Rz0%)eXG2x$9LB8#2H(`_*r^%g&@>Naa|(d)U@VcDF%Y2l&>=c zG*Jry@8@{d_+GsGW@1kxxkXk2?UzVlm@caypZb9lOYw*c+0W)>>VL4p0=Lpz6}Lz3x|*t#^27@U93s%#ej%^;nqPPuu70r;tz2kuZgg0);QY-V zaUA0cu_CX$GJ;*%6>POE4aDz$dGvsig>K*)H!iS125D986EwhO6g2=bD!*h_nqcQT zg`)K+7>!CY6iZb?&@=BUWaITT{{WYv>-vjf?=TB@`sP*;tiyd@kbwUHfsj1Rs<@R< zdQB{tOLY|6%;Zb9yPz>vvQ{Y|-k@l+09lz@M2eUZ^ZbGqd_$L57tBEL=>YFZoLxce zOT;gaPagj<1?060IA@SH(RY_s6vRw;temn+xs#Im^Dqk%HD(loUy z%tfV=o{-p+HwdcQ8wO=n9@vFsRK|4$i_7$cW#cNoE#MSq0ISC^HCR6;Y3qi0q63;M~HK5iZ`piIUvL32~2t zE$(atXj%b-E|&S`ASmw_7-in2TXmQVzGIBSk3=5vnGZBaIZSy+*W za>n2ihy_{13l=oG?*QewcdbR_W+Lf^5O2@abb~UOI!fW%ITht*S$HvXNMLps>1zrN z>hT&LbbzB5wd?w*IlM=wa;(fKq6vsX!<11fWVB4xlFL~#f~&5PE%UGP00(%5Vhv@O zh9$TRPn0rdD!GEIak`8G`~b5+OuyVCM_*vD=WYt|wEtcUCv5!A-VL6r*!C=ls^o?bVx|veIpocpAOAXwKwU=*=N&&Z?Vl+3Z{r>Ki(jka(VqunG*w6^%12-mdZ9 zE@REWsQ2a^DW;iVR1Gl#33xe$jH=h3T(>N;sjsZCZ`KSOIDH{f_nZeX6<$BcIRkYq z#MU|>Ufds_)Cx7I03Op=a{y}1_(0ilDQ=;BaV>Wfp^A>BTUF&omN|%un#xNYK(^Rh z4_=TLT^|y<67IaO_@S0?9ll~WLG^_xbp)dKC}A@l8`t?BBi0M6>o$bVG`2NXj^>f- z7^oq<9c2~ah>fEMrQ^Q85DPUGFeR=1$eQcx2Y)>M#Wl-LV|tqPj{tpT2nYEVDDmbc zJ)eJACmQbzHhuim(pTJ;%460bUoP+y4~W~ToaN&bXIkb7l=E{cM;#(vK)hVSQ5=CK28d5s7DRMlhBAiTU zeqaoY5K53Tq}(Q;3hxJiMyTpz9(iyKkcL078eQd!IeGb&==pMtAW4UD6!m_k0A^&h zxntn{!jSC&fX*L?YR=F(N6f+4-tzEm`mfp}DZiigWoV&A`pk^sPuB_Q@m{bMFDUd% zGwzG;SvCSkr@`YYlJ$!y;}7lqP2&+fxIF5u`FO1Z)+lEI;p27Br{*ghzNIhT?&44e zNyxw4*M0-OePw{U$!8ib^V@vXK_%(^hzdG+^^{=wh?_5dE7!M2Q3CMC-n)18mTcri zOnFdcH>$>;J|L$<9@;mLU*y!&&tpGb?qv*T`G8KVOA)m zmNn@&lTl*aL4Tj*E~=|6bT9ES<7DS8;tmy;)=^=utgcqKNSD#BVQELN&%`~d{{SN9 z&3>Q~=~BLdp;nNu<^&VCnEe zmXiave-MK8md`GJ#YLdxU&n+PD|!h}p%Q=!lI1eJSHMS9x$*jlv!FNY=hjs~4|Jju zB}UTWP@5M(RlGKhAiUJTEgAeqPbJU=`WA{PP$|R;2C?~AEeCZj3}QVZb^AeAiDs*! zSfb^Ih=ny>JoJHYjv!zedG?9Y#xi$(FgQ?5MyW~af~Z-H6!2it1Vwd&LDB&h!TYa&)khp6e$9`LWn=uT!%C#1mxhWd1`b@^-Ewuvx!+_!2c^Zf}PD5>+ zj*6?*1f^hsc^8fluejeK&qboy#d3N+@22I1uG{KAVW=Bz1p*+{I>A<2HFiL0Ewv0= zfyj+7H=-0Liu~W=dJhS-g#Q3$!%cSu#~Hb8Qg8VRZ{9X<%s?1*1()M7co@?}`~D>i zHU9SB63PdEk2MiFVE#oL=g){Mwo=7X$|EReZGNLHaCOu|M?^-hZgFq4#(iK=m2xjS z=Jb3-hi!f7{K{xB1Ly7nQy+?staIh{fGErM2i?AslG%^9Pi;g5X0FV3{>N%pd8_L4 z?!u?$>bb7?hF?1K>GhaUdVVDuD<|k7M(g|jLqd7s_mpm$fGJv;Yd&YsXtY{X*&W-j zE>bQJpUHS=t^TG0EZB(R^%}X`Uv0w*natEaVT1{Kl;76|+#oWYKO|96M%vrw-d$B# z95!hnR#t(woQmf60<^7sSDmAj`t*c50{(~SneZ>XC9@0W7OxtG80+t{W3lbpGO6T$ zFas-PEJyVQ-h(eYPTYF_@7@8;!8MotmzM~qJU~HkW@YY}qp}IaLDusy;KBTe0A>v! zmk<{6pygzAAFM^j*MDMiLagw^jKwLUWT|bPhuSD*#1c`z-}x!W6fMI-hyln3w<=o- zi52S<4hCRZa1r$Wn3l3y*7qaE?Y3T`5%IaTJUpnSitGD;DIG3J_7#Fm)pPHNU7DLy z=B9(y7_49_Q!}w&SlmZV%(DIYsZ*W$^Wsqf=TC?dnvqPNZ|*=>p`StlYUj)TLc-NJ z+^ThEYg1XV>pVV?iVl7Q_i*g2e#i90SZbQ>-{$*-$Z$;?a)ItJ!NDkULR~w~1&ox& zQy8$M0YWYvi(0Zg`cPQ z%)`Y%sp)UxG$Ac3G=6WkbrWhSU}QR-8?InjPM?UPkfpbBhc}$Ws<|JDO(&uBmy|dD zOqY1`^8pj;`SaRipEnjV+{lYre-LX>+CHc&e^0Eiw~2f{YF4cO0D-rKKeB79BmvaF zAGJW+=bZW00SmwP@%ESu1V>cw<@)gwSo)^Q32+v{vn+8D2s#aTc9bIX{%h$2rv3P> zdSYD&F=8yWwQRqbGY!O5QmQ=#LByoW&THSs35?F1YxVhvZwQ{%QvU##l}&pL4#mn` zutlbKq}cJIvu`jeuP63v0|Z(nK(W`)_E|5@zz+8Sl&8E4i-dT5!rH?-mB3~5@h%YE zZ|QN_O_1suiik4|pFe4UJz>Pelp^!~%>=A{pft~4y0V2FN{!Yhvxo?(s_&7y`mbCN zDKP&4hwl2s7Ry!ZQJ`@CKnPV|;=biUrh{1M=O?y1?gEbtdGv@qiGnvAmAn_{(hH;0 z_L9YPAOP>o%L?<$fdJwm)H)DQhf@>Q{{T_XOtsMhslnmrqz^;CoI>2C=;kF!8V386 z)x6vVjZCF6)!AAmutN@iF{bUaQFL}65CTp=xA%_JW3R*xF_|}5?=5+A z`y-V+e_#bUqv;A)r{)5>2+#vQd6)(K_nW7h?cShlo(Es#9TovqhwW0$3a))SM!xE> zdP<_}7rFw_+)S49+AfLb`wtJBi862I3zWzE2vzGdC2KN?PFPSx%xt|`b}47c=i*p& z5%{C%x7;BU7xwe%tp?X)Iu^RLPfC1;5JuTm+KSoA5cZ0vTl;?XDyhw5 zzb~R^-?USTFx9G?WxIRXs+JcOE}Gmhb35NzdMIgH*%h_O=9V1sGQ+12&HEttm5Ot| z*I#YG7Q@YV{vTF76KHX5_;G@OYRFodLm(X4ZMYWNx{N+`7h$kF1TU#^75)C#^Y)J9 z{I^|tM@h%ammR^vR?Y@=9kYaEOBHsvDfWlnVO~7BetcFwpV`Ey*QZapS*xf6;Kz|o z4Qb1or^XV>bXata-OS5l$BqX2#_pH~5;viJ_yv*p+Hx>1z7JoO##xH#)Is z;ot0h%Ue-|b%hG&z7%zUH)YLC;+5D`Up_F~KdY8V$ImWA{o;U$)Y zwMCqWiNbXvrQd0SgU9`iT|*=g*-XPE7z?a}jN!d$%nXChvWlZI!iT@Crzg5E6T*OH zqbpf)>cP!mv!=LtD>nk8h2ztR6AuW!ex)dI^yAMl2q<^$-T`@*3fv%?E)$#XILS1?QoQiLWT^LO~$!)mX$ezRP~u- z4cXJ1@6Wt!N4K?9b>YKGO!m?&C~pz@ChTkr4TBw8i!AE_RB>RL%6S@`*l2jZ*L z2vAmH*{o(57pKGzMpF`IS>k3eDaF#g#041)&FW|qeRL!WT zpU9}*2gg}`Vg*a$pJkqsjiY(`%N!Xu+sExF?QqURa>EqUlmPFMbAmpPxm@Rk`@t(b zN)@-Sn2rLrZ?sfpH1TUZw7OE_D_4FbrKQ?94ZnXXEK^_D&|Dwn32^NxU1si4lg~&6 zn16nKA(cdqO)cmbkElb^HpRx#+5S{jRAcdv#5i=CO=<-XED-|ff4PIHOA=DS^z_d$ z6V!fU5(Qy7OerP4cxsVG230`)LY1aFdq1cE-9Vz4hjp^@rIOy3>#W=4Cv|u}!=wzV zZ)K0pK86bSnTyJ++mE^Jjv(KoFkrq{cChGY1_hzc>LHu$`h&xco_UxhBfqC_e!bYe zk3C}9U^*1T?B*bCrDY2c7e8KJk#L8bf?HY7d&BwmWhX$c+x`6|DOWNOKr?`2-Bs^g zN^_{#_(58E{zH>*Drp6hz+DNF99!*LnNKS}pQLGrd_tw1e_4XNC+g*(6jz%3(KnS7%r45iw$_$bEvx!73v(wE>Tr1CbUn&SGoEjHt9gAS9u`I($c-~?u zWEd2C`h>Ir?-LG#zzW33_aFt3w5KO$v;lvQyg8v@ zDN#XToeLkThDAV{u}qdk7oFom82*n=bttA9noo4&(T`B?e|^kryXz3-v!=uy_q7KP}wB6*PtYwA_H>!XPYjF<3&d1SboI>QF8B4+9>WpTVJ%64;~buh0t^5! z9fPE@x9JfRI^UgNnPE%@EGc_iv)<2VMhy*0?IUzhbSmzQ6Khd-XS6IndVS^`U{PQ2 zEC-7sv14md#6>O>E=d}Z@u=$SEMh*=fk|i3K1zDN@0ApWjj^E3fZy6B+ud`@ItQxzN(QL8a z1|d;pN{y}i`LbPF6kVGGc!9+ZZa&0?R*-GQmrZg?Rg63D#uz7ux%amF$EHGsEe3@^ z<7yj&Inb4ug$pBV$&$obHp>OnHTSrppZRLUdTW1%3$wrG2pNjXIF_nm+vhO=>^;9w zTpy>f`OQEWadDMydVH?d3W2mH`#@VN9l*D@o?=-IFxD@q7i`&CUSB-`2hhwwcYm;j zV3D?xSDo)uapSZsLb;afoiWi;v2$-oiWWbZi%g%#pJ_?2S=s*pF;S?ffX05@FSV0{ zr_3tDq^ezhB3hw*-_klpt3ednno-?zd(6R85)=RefCC0S<}G`QK7`Otuq|i)DHba8 zZ`^{Y#z{z5UzwC_bk+4C!7=&=Ip@Dp2v#`a`7@0cUtd_M4YHLsz*?+~qfT*@l$E8K zz*^V=&=<%{Li;)T{BCwal=e`+rfILVdPrHNxW)R}?Qu(LsYxs#Cufb_UpEjpB^3^L zcNn*KRSi%g47Mmlu;#i{EPM*b_E>E<-`5{%>h1u7Z=0=)# z=uoPl3v?h9oEP^$b0O9Jlr2N#p;iUCLmji9Nc=GiRK}Hh)?LS>)}@N1O{tGR6Pf=2 ziUqRUdj2J?ThD|69y-kA!3&|VnzX(KffK8^*hC}ClewQRR7Ut~TH}db(Mv$qjVBoP zE2N;AkDq=eI{DM@EJi&e13|oknCkOHAuD~4N9(TTUDPk876tbDTQdUXDpRlK56h3n zety!Tqgu1m@*d$RjDgX-7xq4cX;NGT>5Sj6Na#0jp8U#o*Pp3n?xfB@+H?chnCTT^ zO$7(j5`uc5C{jkywi_28n26blvaV1U#G!UVY=6c(zTzRvSNZDPsi&fb}g0@y+(i-Z>02g&Zy%z4XfC*_yt;utNnlhzgYK+YgTgRDaV)VZ^ z@i&$>fvADq{!0)X#=NTeY4-DS<&v!P&a*f{K=X4bJz$O(U)h5-V-?u?@NfGQZR#b{ zhy`wBc7c#3|P*+)p_`WUAvS{(V=OAFi~~C`hYz?NvyqpF<3Z?>iMsVhy*lf zmePj;`a8_mO=SlwP_oxoz41vkY!zjIuIkUX6B!}W%z)oJcE)TaOrF<%fJFa=6lV~Q?u7UPda`yxJ=gjeSqfXQ$UP+o!{`oyW!0*Sp@?Qjjd z8?L5M{B^Qbt-nL3`5PLivHSb}qN%ABTrRCb-U9TxmVzi7QGBVPF0{1)GGnI~boydm z*sij9k2=*!^WW=oi#Gce$9Za&16UCj^fS(l+*t-2z^f|fMn_b+gJ+um0AZzq)M^mg zx~0(?czo$IRvv$l0cZw8&;6}WBk>Z$gsk1mOHaH*Ywa3aK9L}+jw)CUFSnka@|!^0 zobTJO5L+vq{qbGkBl3(;p+?MTFz5G@U_#q+xp`f#xq%yauebO?*7iMq`RM_>aB}hQ zH(FCT$yqtZq5A{Ab~$;ZD)lxNjeAj7*RN@Eg?P&T;xl^p>HL&M*zY*vBFl9zAf@PV z99p%wUJyD{7$zK9&Ba4yK%>yX@f;{?&%~ogo{*~{NaTc8u4oIWsNQaHKYyg7XRNJ% z(!*QN!!cXAfUcQ^re7{yE7E9p@1LgTKSgw^v^w2iXl@k7;M!CI!E*EU#8QyQTKx3; zc9l)kyfJ%3S=s!Xiq_xeW)KDGldd~UprdlgE8YQb9bh@{=i)w=I{x}zYU=6+>_K6h znT0SAsblm%a-iGUzWa#_x{qmFng0MK4JN<$2kv~p8GFprJP{VboBseHwDpgsCN6uW zeS`R@B_UKgmsC5Btj>o1I(kC_US<%A-AhoLjR753X8J_X#fS{eU=tgGDa^Q6A)N2$ z@OG9ZJi()JTJ)IUlO(5&*14^Ekl( z)u~AKruZ|R7&(nP<6svLWpjl&o$6WXQv}za?Nl?N1PGiK*PDU^N`~=$2UiIWN=*9w zAytT8NbR{`{{XnaP7g1iFj_r-b1Ob}jdg<1wDT+7+tn|2mUVuh_}&1CpIF6L5WeT@ zj<>uFx^E+R-LJ~Q4lpButl7~_Y^%79ErsU^w>L?2bSt43@JlOc%K?K2Zm`HvIrc^l zu=G6ifM7teQm(lZ;x{bbTFTwJI=Hay5}L8ATVr*DE!M>W&EIP_ja7`pc$^akHkzi^ zXHb>O0{uNJr=+ks!hSdRWx0<(vcZsRsn_)NFyb^!s|?fIw+4;~{)tw)uGhQxh5^hJ zCC1qXzv;TeF!KlMSS!pBQBD5iC1~q+cib?>sdiJQuJa7A?8~N_U~aiNcc=t~w?V@5 zGVH|~bGSR_S#<0~1)N7QG8ddRkE4G`l@yBg6AHnd>!d>`2;oWU?CN0r^U`5&NM%~&skx{W+--o3vz?*Cwxi;5F>Fe*jsT7Ci_FQzpux{ZtIDBRHH8@ zBQUh%ugA;_kgI=>Z!Tn^l=_g{RVW?xmYk{X_4R-l;&Gfy6tE=vTx!?<02)TVhr|7a zn04pwy@-QD&3$Fw?gc{jTCwSS#5LNRDu($u?VUC>j0X20Wm@P1E6<>^sKKN}Tveit zjhiXK6&_G2gMG{TZ_AlavS;JsT`A%tQ-bsJGXdHb7Ez;BhY=eu69`-yjuD_Hfd>27 zuXtd$>j}tI>3^z}&9emx$QxFSwah}dnwAb-`hH$I#qtg>J|3_u>??v`-TJ|&-AeV0 zfWX70srRO$P!7<)NA_<20O6RARQl)f3krMukTqPaMBy@h;zV%C*7}&ns~rd?o42*M zew%z*K}FD2j!tZBQL{|QIk3{MZt=?DtA!fWK&q}iT)}rM-i`YN!im53EpV{cC%?R_ zLD6cp-E)a+F!SOM2h$TIU5(VT);U)_96jL`F0~-i)vaAiQ$%Wb^f-HVtV*C%Tr$&D zS?G`Ba6}1?*0QVGvERQ~R_GCGm#>{~(hFGYKPAU$X%iIRKrTTFyT&vT=5PvIpjr-r zXcsP=^@{3x!WL=&0GC&b{*yVgue@0|0F<)}SZs|H(5b#nUgOYeihb!lM}MXqE?~I{ z+Aw^~EW`~4eS7CiirgymEBhwqk0itydMA`t6l;#QjR8FL|qT`Gam%=JC!#vBz8a&6WFc zsN6L4M`Mtxt@r0JEC!+#Nqw4{Kk{O|{{H~UWvi2)tl06#=07=0e1g!Sz*3wRL(ysg z+OQp%Q=`>`M8L(_p(w$4wJyMQsC2be@YAqJlqrD7)CV^dEha$^DqE`Zr8a!xXAe1m zmfhc&mP;i7SDod>!B-oGj4^3#xS}gA_=*onV@~QSG?8>QF8fqA!BV(S{QbU?2jn+G`H4+Exiw9##t3YK|+sqJBdTahokyDG%QORvQ02qw`JZGhljzOZ|K5`u#LAdti97WIi@Ec!x`a*Dr+L$@B1 zz!zA9ZN*<-GOpE2V<&{Ix9pfgW&kQHR}pb2f9wS?84{7yJT4;gL0(`Rjn)_`f*KYl z&R~mDi_FbqI?MjYiQT}^V}uqg+Jj)lma(IEF9bztEl@W4%DR~G3od{8FLL6Dt{{qB zK-xx_B~f#MCEi%InQn0n(8cALJHc)az)t9#f+I^ZXp|~l(G=Uvy=Dt=1OEWM67D7% zsfNGdlG#qNVwMGql@Ym1xB&vm{{U05DgOY^|HJ?(5CH)I0s;X81OfvA0RaF2009vI zAu&NwVR3F&T|k9W0daH@|upgV1909C(Ft~KgBqFyvUm==O#0(IZ z+t0>Fq>2Z6!HQl8W&tfim2z86Zlpea@JqrmG;>`-ay&CtM6-hjk2y_uf)qsQ8*=48 z`Cc}oY|#nWsD`lK9O1)}(-=JRXL{lzc$|+vY?_?UV&MLxhY3z;H@$q-RP2{h!Ow#p zdMHp3;3yO#s;RcwX*p~T1cho;@yhe~#u2C_pdECHdFLHutJ{Z_W)!LjQNdHWi?jgf zBPi;4-6T_rIs(2MTC)Jnf#}p^-vE0f&Dd zzc_x0(gWa67|0wbTpRO$oD@6}!<_`y;e#W2pgfNh-o@F*vrW<8D=g>@#40}$G~&q*pz)ep(=!NMGD^bFr}dgZ_ns~=cDvkGV}>(bYi zpIk+JVA=uHj-BiO00=~6qX@<|(E_fA3q?dzD%`p&wN(Nou-w$vkP+I1hTwVtB!0q6 z*FE~};N_IFRY}H;^Q;-vS^x-D_C#mX1chj=RzA2SDoZ#f3A0FBhH^CxI6@lfxc^9{{S233UdMzQChQC zmE!s`gs^*v@M-#4CoUl=ILoYY9^Nt*Q+uj<@59XCur9)>{atM0r8u`R7`ckslknJ>n`HI!41>oSOZM5com zXera=SIIH3ln_s?+Il$M!59PQB8!%?Jq&jwTN%GXBeRl zVcr*v70?iN2rL5^;vIaRqCl#utAS?s%jb#p!=b^*b!HKEY1K1GsGRQQHn%}Q{_~gH ziPj#2ibc-u0Ad6L9Y7&bF%ngyeUb)a2*{CE>tr0*E%n}~p%ltAWz>TEEMv!)`8~1o~o15!w#j zGP?S4kfLmbn3f~++g-7XXCq@6%fREsHDU!5QHkvWg89m9@;{y{ap;HIIC8FvIcCbd z>^MU3bElk^4gfXsfK8yZR=>f<@X#x%Lw_p9eR%&V%wKgewqqHvG-=7OWh+sWUbY#W3yL`d%VQ=XqVG@{CG z;!@_OgcoB-fypEWZe`EaWEnoA6T++oQ41_%m{w1t<%u{MlpkaD@VT`MD1x1R`EZ7$ z*LguCQje<~v2Hezmcx|i?SMk89n?5%RmMgj79_mU`Vd*~6_A2%{)KytG$Y2gU;H_* zO%W7a0S7hpv0tjd7}nFRIq5~7`Bd@XdCMK1j*Rzwd4Fy?P8M_f>x&nRtG^T`JkTQ8 zA4_?lEEfQmj>bwN5q{yR19l6E_*|2+f)){&C-g(VTvNiJzkn^k7l0~O4XySeePg^z zKqVd`G zyyMBLSrH%_AUJXg>p?hr^X|r6gW?=Tut6XJW{9Fn&*zaLl#aUo%ppENz)p6y(1I6z zDNkvRT0EXPyy+*fcuTML!I~xGoxI~?vtQEx0GNX79Y5ZWrgEDSx3~Ivz)%|V$L;FC zge%My{IDqM2@P*YJMYK9nl6GLE+6XxX%~U~jy83;DDz#-dN|w@7BS}?W-ZMQi+OBz z6e0Tc#}lp7jk%e4nwr{sYdo1$MpMtcU)h|5WYkR%9oY-c1QsgKY;h_f5mydV;!g#i zu9F=`&D8-vuEX08@iscrcsD;|ADQK6DmV4Y1OY>|XD8>>=guo9Bmw6hEpBK%e1P~e zEh8uhC;5K#6A$qt1|pQ+rV00M#!`y;gA_M^I%7?3D^I3-!>QlfGz&aH{w8S< zO&Sl3RIYKutVO=+OZmYhN@7q>9z{FGgOW$SZgd)kuPS2!S||_*+~oAH7-BqWMHOnSS0}ndT-`DGdLH_^-l$;LDVfwBBwyj_& zIA;C2&RbCdCnAjW{9iakSDv+wGQ0ufHplYL#pg!+Vr%Sin@puVbK^Z|-mBI#HtW37 z#5YjI#C+P*^iRS9988N>559A6r>*N2tvSAN(Yysg!0+4NICtlBShd6C@s5EJDsit&9+9G)Xu~`R!3Weg{1IPh-gm41^@;hMbJihuCd8L5J#Qua?;z_&wLLMOB_GG8K3a!;^N{qum(D8l z+Bbs(o&n}z>EUD6YuD2GbNk~Bj=)26Sp!nJQ(CcTfa^pA?*3d+=w9C59u4)J9^c~P z)3XHMe^HoI^R^8{7LZdpqL483{qj z+xUHP)_vnwS+GK_R1#_4laBCf3K6I~&`x}wOX16U!><=LH~u05?jp6_#o%j`_5T2P9%)0?Wpk^(Nt3DXgVyymcb50BN1X2OiaPO% z)bm|!F?-~Cy<#1F=U11D=M;wKuvIxGmy=lEQ^}A{eLZo|<6Fg6kEZimv7WfmZ(f+Y z*p*YW_jv4su3+=~b40Qe+ZlDim3f`y8&Zp}Z{Mac6&hhd+3|SG&t2N~@$llh^dg)! z{0?vsx?-vFy4TO4fbq!>2ib~;)9L;jBJn8kBVSLmiiISit%>Q$`&tYnVIamN#ad%0xYo499I@iTv{_r&S9Pj>ud*Qvn0 zd*k)InM*|99x)oeI2*jxr#uH4G~ZK=xWUYc!RHiRg>35`{oh=KeNEk6Vt01-;>C1r zJ3QwscARx7odVf7+byMJy4Ir`)<+Rg~ioFZ{v4>7^B<67P)q(R4Sw??sG>|Q0-D)N0@ zV!%KLZag9s`ePG&MT9QkvEb~{GrC%biLrgnCl)m-BG z6cf_}LH6q{xzDa}DZ==e=P*2B)Fh4!M2TH71070%2^u;ze#~`)=qS1^(BP?1u2e5B z=a2BAD@4V37$=k6R;GsZNwnjR4;*@CkW^4%p>Kb~j5W88XINU$0YioS-Qgz>ko#rz zplo~nznrVj4Gu8%!Smj3Rg=#c@rrOgIeV+m2Y9l-ucqL`CskV zoOdSr)^rY<-x<%IJN3tXz3ZIwu>Rlo9W_bH#Kgo<1QY3ts}u^&`{S$Of!w(8-QR9P zH)93sZWi4puc_lE;-Lh1$tOb%IEgGnZ2tg8$*L-W(SgqSxT&MyrwH^y`VL&*_;O=< zr81BNR(F7f0WdCz)(D=i>M%=PJejAQeEs8$4vjosTs3crHJq9L+|&uT?&awosWP;` zY`*6O&cok_CbgSCIPKfMZ2Vy3zn6?_r{{+q`5~>FxSM-A^}uo~w*W6U$MKB$=J%Hp zH%otfV|7WcR~Dw{d_FPSs-X~c{@kX=lZ(!5H$YEZrRT4%A-_Vxp03H?_{dwaP z%O@kDe<#nLX!0*y^WGqK3ATmf!18%Fu5uNS0&C6ACjPERJ&v{e>5vV(S**9G7CgBc z*BkRO+3|ju^4ZtMJh`5o<8_Su;u?|HpWisN8SZL0ZhAb2?Z)R_wPsSSyOWQ$Y2kV1 zOjP#j?AQIo5xJ9kCyue{xRY%$*uDAli-E_dW^H*<%o9 zvUTSoO2DN@XX?7&(-OcX0EW7)cr`1uHgOU|jC0BzMdNJ#GC)(|$`@0ff9Wm<#1LM$ z$kC0Zq|xvD)&M6PGqq><`(i-4ojkbK z%tr+c9-QN{tm5~qrs`Ky;~G=k_va?canYL}E!`Q{evb0ACjQv+c=Y+X=wW5?yx_Iq zt;L7C_ndhG`DWiln2mkwyrC8E!;$CLM9yUqgr0}SNTIvHVhgAcgpZ}C$E~nQ%em_K zA57RlT&Q=?aYr$LS3uB zbe=lg2W5CM&BxzWBh!>BJ^3 z)k(K<{Nvq_(A($zWs`29^f8WPycvJfo@Bq4@Kbol1*qRl`CQgP5)g{_q47B2N@A%B zj@~_g8Bp;*#5+tHJ}LOl3t}2u`Rj~zuJ}X3V|d@r8@=knZ;$nlWx5c8_2nh~)-LPd z9&pgmr{5AB`98YNXE57WJSuQL`R2W|onx!EX>03^TAIiv1hrsnQzH|yNaVvkH^#207L9GmCR z@8c%Dw{h8dcOQu#-{?Ya%Ny@IQeFe^kIp*RIGWvlm>tvaiWi9#4S!xUmp6~!jH+3; zqM&>6_n5P+LCnTRP_vL*5BHoN#y`di$4FB}^YX%A?TPF|&Kwk1TfZFk_bzdXVm{9>9Hbk>K3^u!o->6FtoH#mKVru6fTi8O8L%tl2haW#f-* zLr%^nA*MYwk;=ka=(vwiymgXFgTTzVed+0*sP5NO9>aH~OV!Vi4i(8D?D%6Zg{}WgOk0eLPo)s66J;u~pgjF*x+^7hxh^*O$-V zIHBO$5dM3|YvbP^<0aL!iP(Ps04^YyEgK)MKV$6P5*9<#&H*3;8Xz?E-_s-ng*i5= z2F;`}1H1@Nsvp9bRbKA4} z;)eGieuw7>x(=0|jA!3Ek=3hj>w!m=R=xF(K7*w2>MVjBi&*{h-9J3DH{cQUazNWZ zoE-c0mFqMw@MYW+v&Fwi%@vaaXRekjw&ElMI#ix^kG4ev+QG+qtv|o@mc~erRVxG* ziWocH0k3%9fo6dPtM{R%#0r!WPFlDr@^x>iuZK8+WXBqjSkW{`zkjwvI$P5hCJCw0 z8BNMhJDueMW}~JVAm7eFd!6GnrxV-P@s+M1bB874dvNwN>nYvupAK28MfuCu9P(up zx5n{%-0o)nn%j87`!sKPOy|!yzoB_ciLL!IwH@AUOg-^#Jm=2znPuFM1I90%XQN%o zmYzr?{qHYM_urgSYg*^dFUwBu5X!QPEs8x}oY^8l^}H&u@akLu1lZW}#7r9GIE(Z% ziS2R5_a2#N_50v?<(&_Qz9m8uYPtRaR!7|4C0Ii|M1CmHplrkO$^iCpx47>Xp1xfu zlZ=={%lv_z;o=xOL+s-LpgQrIMPoeki6f@K?|7b<(6(lmmJ`<(cAN(sXL#^s{LAj- ze9d`r7t^0y6I#C$1kJaz&Q|>$w&7dvk{n}3uD~~o&wJtP1e@r%v$Kv~@-?z`>)t#O zzCYFtpiPvA^@UdX4SzUI+%-i>8wS%rU@bEh!Eb>c>EkFA5R<%E(Yve1E_vQ{E!Ov+ z)*z^de7wGg-xk&=*1ufiqybz2x9|$9#r^B7dC8g-*E{op1?UN$o)?c^%#v4Ztf9we zUJOp+3jNdWe$J+8Km_;{oy>T9eqUbo;fnWMaLAZ*cD01}42zBzgyMF^bwzFp-J z4Fe||UurLKby^CEyLs{-Y3nxIO}1}DHp1xedf`z!elhL~s`~2}wvdAmcBi=dGjIWa zro9IV-1PdGA6^v_KMdX&20cMKk>l^5pI%p`0D@_!~^6& z1MP#H#tH!fbe9FWgncbbmf_)?rCISeXfwA*` zGL`St7#I>igV!)ltD{U+oKd`;@2X|qWF(=bj7lO$qz3?C+oq(tS^m$q5HNK31RQh5 zkF}v7Yb%9Vg)p`gx4O7&0l<0yUs z)eAf1f7U)%u|^y~cN`;ufk6Uff}g`rB6QpjJz_9e$ATwR%9bCOB;#Fv_{D5Xg}q>w ztt5Ql@Tnw~XC+W>>zqYAzbaC;qbA>cZsPZ0F^CCS$tHNb5R|WGAU#$*OOX=Er*Ak# zvNqC0;Ev5vJm7`sa8PVjI&`Bb3rDH|J7$5jl3oj0jVaa3Plqc$8E8~`%@ax9Vi3#g(j9^0tWl4b^$?*xo4)yv1~>Xs@gWb z0-jjxi?Za{dT>vH!(YK6zIBhhyZiqD&p3p~FR02>dNbQbF=sUR{r<3!T!biv8R&z0 zmlI^<7n{#HsfIf2F`Y;O$)t#9hoI735;pC)wF6XJs*_r2z?eiXr5WmCquxo!O65mT z+txK$eh7yWT@hU3pLqbOL8Szc+bbV}(t%C=%Fz&_q^^kTe9U6o>A+AlK|!86#;7%Y zfY6XVBIw1wj*<*mK?U|gY}5LSq@rCY6=KK#8&&D)-;h2 z&;g@5Yhcbo@?&F&-C|ZZE;3~dr^(3HGq#v{CUudKg@b|+Of`KW!rjAW3HzQ2E&VrW zHZE+mKwT7Y81Kt!CrWZnhWNvR^kQK(Iiwy8MazLAhgHHFG_&GBq`Y{}5ZJ&lTz9WK z&KW5JaMSwF5JAa3uiy2sKA7>B!$@CHzM8<)w~(Ft`ey9{jf7aJ^{3i$ArdCgS6zNE zCP_moyhI$#GHBn(*p02E3pG>qU)VMw2z z72k+^U||{;?5HzdVU7qkZ8br6zpgMZmu{B$dDX`(?L^25gk22ZzAB|D8m_qe{<&;v zAOs!egQ#ij0q@$|i*oo0ygC!nBZDhyHj3x~-+;l1P|#ZUk>5omd0zvVeRFk4u8{Wj z#!PU!jwnZ^m@&0T_9qY1elaTD5*6OxJ@f0DKx_kQ8r{FGyCbyAwpx<(vMg$NWx)w zzP3RzNzY)YbeWkh;qb*IdJz#ZwC~f7J+T$VF#?|ro>wp z2piQNvt-mmcn}Caoxi3^Q;zkg5!t5aTTf{3oKv=;x+v1*2|1dgTv%2BZZtO_;P7L? zg%~MQ+6x$k{4>OBO@mhTiXw`+Zx~HTCy@I3V+Squ7UUr|{pVQpC>yRhcY_LnHYBa| zc>Xc7cu7q?dgFH01xY_ozs6`D*yXHs=PoOOt+5vl2?ch1my5yN#>aR7X2-wYv6#F@ z4%OPx2{;7Q6e-yfRMzc=c8obON*b_aW0q4g~^_m@} z7)PqA8Mi6AviaR80t61NCIu7m1u*EG9xTY>bXs}wg9DS?FW1KKLQ1Bt$LAAi#lSg>j9$Ru$X_^O<2;dB;+FpU<3a*c9y|KO4{F=(a-D4X z;}lijTu{I| z8lv@@AzB>(0`zti0X*PA+Pc+!QS~tPl)wN0001}v)>2xAgaUc>;re9LbR0YH?Tt-P z1OWvDZ4nG=l#ZNobYH;AuoH$LXkmg72*mOjp3Dd$3Iz%ji+7He3$_YalYk7a@cuJu z*rgB=GUeEyZ+<3;FU7+061=#;nY7}gMH?cJ#Y|8La0_#0RjH>(Yep!tDM`6H{{YF0 zZ4#>TAEpR9ODiltPX1-Mv^pt)4!MVj_;HUI!g~tc{`VWrLYpgnr^ad}eiEc_#{OMJ z7XI0FN=C{cjwIa21!a}b0E`Wq<-{~&pCV8|L_obifE(bfSXfh zFe%wn!HQ$uy_6G+AZt@`_1(JzAra64wzNdr6mvX@O-!;=J#E0(bj*9ip(T=!)(Y3( z&N_j`a*|C2QaDuv$ubuTtVEO=ptK*WpB(*eQ809T&qFObssOM9SmsuV&liV)1_&V< zbY3U~3_uM?LaMbkltePel2}t^^Ul2(=g&hz2$pTITDenPDhQ&vux1KtQ9N zGB)#SD{z<4N9~YuqbC3Zw-IH!zS3R`Nhf(iB$>BgyAi&^`9yfB^w? zWccY&uyConFw(qz@xcKZfT{@m;OqMefgrZL{g<#w7i}Xm7Mrg-NzO~K5NT}&0D)Sk zVojW3>XG!y#F0Ya6__I)&#GJajA<|#)Hw_?kQ_vcK|#JqrPJ!G^0~eDvjTqW17#%X z>$!w^3SV6l(+n?AdQJeM0dFh8MiiZvQR!G83P3@5=Xl#9_euqL0sue&0M-+(mTi*( z*PRg8F21-?5Xn^3@gexfU2lP$rA6N~I`<4X#hB*TTeNb&37W90dPF<&X%6jadrSeH3N&@d?f$U>jnhQg z?c;g19XuwzKX}Dr1khEycKqO!l&uhNt$pzXXjtAE#~k4UL8!3%Cn@Qi^vB5kai?XG zzc&1v@6JX-4I-u-OncBl4!76u=OjFV8vyYipQDk{78Bb3GBn$rzK1>J*z%mX1q~CO zbF1@_8UVcQL(ir!DGox21t>tEg#?aRh5?{qZRO~XAB(WP>*rR~OcSE$K&S;j?mQ() zuzug10_1(7}7*605M9HJ!qm&~Y_mBe61aAud{^ z5N>+Ij*%j3+uf@G074*ZBf%Lc16$kzj2}@5bA{|FhY(kzhmm-sScMUG&eK)S5jBJn z16-V%RiE=DXPU!wRwUoZ7IhmMSZuKZ0MI!<(J-(IdLoZ;kQFCOgCL|@LW+2&itT9YOIhmczxj-T1XvWi`t3LKh7b{H>DDiBUnW7p zk6tq71q61f{JBy=A0dt;VxoZ!ag4B{mhs;FWaJ35QQ9RukDPoWKx;kPM6CmmZUkx^ zZ3O5Qh}EU1ZdeF`)#^wga*zQ_&jWqv$KjJlR2^;fF`5+wq!5s%iC#e=BcYwd2&h{y zdP^Zv5m1I0h%$d$R+A`*E&ymafRI;dtm|F9pgbPW=#>UW+=A{EXw^)*5iELock1H# z{lyU{TSNo0nKZ6Lh5rC-kd%ZeDubr!)wj)VdU?y5sa%^h z8#X~DM0_DmiLIs)K<5e%dpA8y0%;*P0SDt1a9ds!ABPNo?jXX=6N>);d>Tb9_VJG% zhvyh=Z5X5X;|&0(1D{8=#iEf0hj2ZZJu$0vydou_z{i3HS1Hm3qBKXSXCYWs2t?*Y zE~|r~9*a-2a7m-rZAf`${_PHCVOTk0g&9e$btyqqO088KB3!-Y z-Lt^;!L#%r35!#>6cY{+MZrr-n2uB82CkC3eIHAV#x9KMy~EQK&^rdy6MDof7>&^@ z_{Csy!<+n092oxq8A1}H!k^!a@uJi=zc9ywLy;Le_3-BfI|8xI3^n}+D-s5lC?=?s zB2UN{8bvvdZ8R7vggriUODM-Ifv!FQNvTP~)G>Fm;4FtvbUXH+ zYWe#)d*T60>&FwNsZoADF?3#z z`9?wxRu$Bg4J5ms*)Gb6sHeZi7t}I`>f_@UfYFY}k00Rw01h-+?(KH{u{2~ife~nT zQ|E3Xp~FD0>w+jGp}`nCIrHNU_~3XV4SiH55%Uw7`#k>KvMvtA^-tF(J?*T7<#}Ur zBvW>jCfiMu^y4fy-4-oz%~kn}pWa_SCVPkML?-%XN#aaiV=dJxF^00b*GGjuQyPr;2uDpU9Mg1KyPsF zVPuszzVF`f^rRB`Uw*&Cg2$C+^po=aaI}CCQ5BnlKKQLp`6XUD=AXN9Y%gKM#t;*n zBDc!3>wwvlSo#oaav)%o@`z4Ww+96>PQaR+lqJ%LF$qcF4Nf)3(++GHG=Wjo!qI}dd7VsoR9M^da90LooqaSjr)gb1pW06ZL{drd=07)3cSMXCs=I{o&?t|FN8 z!P=oP(GJ-Fv}LG+6#oDt&CfFwQrTk&@v#FgVw5N)#@R{)9dVm!e8lPj8|>pvS{XW6 zlq8W%$G>x#+zY^E0Yj~nL(wftP-VnA_O)fI5>h=WGGhH$F{9}&3F4#>h>entK%ry; zZEIOj)y5>*$CR^s3jkn73#(S83ZVy`-5_ucDe!Og{A0F)rNtD7-`gXRYa*-Sx6dvz zI=!u>{{RHU?c2yU`}E>e$R^JzPdq=R#m!wJ9TyN7P(mC2z3|NDY>U5nrq?R!0`iu1}3v+K?4y1j%J%5Qs-75xF|xPcNx)@J4tdS2;8QKfA#QLXu#Ebu}1p5`e-+GI$UkELt>INVcZu=LG{dK_@C`h?Gt`#ETMI<5?VN zzBi0M-7q4rdn&@@|~)3+gr436|P>*g`p^fJ^*=MIy_i{rID>|GCLPH z6&P{9QYA1+4#cpkjMOOb_F8xpMfWlrw?2!2VOdbPm_@Pzl|!EZU4yK3od{2=JwBc= zRW%>7JMUbF0c;#6&V z@ju_=1>~^}6K~AFOnO*)Ym*~zjAV8Q(t-5jETFhyvi-a#10@~QLw)&(Bu16vhZWc% z%*~LqAf@VIx&<+68uP?@>xqTZridpjZdIrA!MBW?es#svu9?@2g~q9Jz#t+bYj`ao z6s||?z*S0>ki`Ks`TNriF_IAbNyi=H1Q1se!3v5>C<4J+f`Zd}eszk6h1qfu1xWFY zs72T;wC~67mC-|Jjd|_YjpH=Nzecqzpa=*cfe@!jinp?wS9Pu#G|oWK6(T4cq_X0z z*eC&9!7jB|)MV>Mc8v;AH=6R(zYxcAFJgwo7KNqntO@OrQu}_n!Bx{k*LBVeP2LjJ ze#89C@xVC{{C}r<2-HHsmG;OeqAwhc{c$_S?rBpPb_>J1kmGBdkT44DDL?nUqt^tpi_d^y)k+1xF4B2=KDd0_`euny zK>(L26$g}Vyz+mnOw}4eqm=XQfr8f;Y|$_zKtcY5RvH&oCM05XPf1DEu4o(N3D$1o zG-PnRfE@;?azjZTv13;P27nF@F(SgyREW)t+-3@UDiv22Y4C%{b#fl$Km?}pS;(9Z zml-7yJ^)F#uYVZn1ij_l$3WPv@qf)6RuEzt^cT0zZ~}^RsjZo>_kNKdK<*X*uL#71gcT|PPz}%?`yK?5LN*vjEjRS%%1HKh|_H6m>%Qr##H!6-V<2mmQ+9i`yPb$rP$ zQYX_G`C#mKX^y~5io;dnETs|zlnp4hFB?fyQ8cV90+3R1m9BsYlF6+>)PmE-UlUtsDN$P%uu8mV9#kRZbX-*q zI_?XVilr8f8Qe4iDguov=f?5yWJwaR3B9eumZlAALdrG=do2kXq*7*hGUAJbvUmdy zz97lZzTqV6d?7?7;A^O&kCcd8BB*EfOL&{Xfe- z5P;_Io=o(;UkfFaSRCilMj$l92P1-FHu2a4K&1ked95R67h z+-CfxAS>oiWYpFX1PiGHNV7;O33$XUqsyoW`51}94jhT=5>e6$9^mJ9=(D1@H#~Uj zk4+~rgpq21k2}jdDPU1mq>MaQmXm&{9`6({B|PN_CCa1}vd;SS!^wv1BoS7qXg;|g z1W1m8IzG_D$c!TdgLXRfeTMW`@u*1!Y^B?WtC565^bw^Th6*%c>JFBoo~wh-->yWP zRS9c*%ByM-kSrjCq6KduOM0*b1Sbi!qK5-}inpE8((}AwMqslb0f7V?1PE9zXoWSj zYybwJUzXolH`MOyJbwIoVjsjQ33d|2n6%*6s}6DAB~1?jb#?f`I`Ty#O@8!eq2(-} zK>q+Max`t9%-@4GYKRQ!kC$c71c-DMKYGU&jhok>-<$$QyRXWqh$M}}z}=xC=LEn+ zxKVdn*9tL;eZnw>$a2aCDmPJf1>EBly8!F0++lJ*mbq}RMF_c}iJmWWXgk9|rrPy- zdB5ugAnUykt%V7t@Lf6kC6Ze0t=HU^@UPdf-IO1kSAF9=ABbHiw1?!cu}FV+M+K17kO3bVEV5;IwS0 z*aBCNu0#sJDAu^XlkJaI!a%vMc1U5ZkCC`HAA1UVr-ww92;|WisLc0$S0rURmB2gP zW#3aC+zsfnO8C9x0NN@G#|h4^0aUpv1<*#;EMOf69d97WD+r*h{tN;kQOR{4V>rpK zXS2otX&RwL2Y#>L3{oPL4c|ijFhtiBpXK!gI1fIZYke-xLrSD1x1GUdYe$rP{9IkW4cHFw6UQAzqukRQ-pfZU2{T!kI=0FSaj*^u}xcSJ2_GJ^PMa1Hpq2pBa z5OgqbU<098Mp6kb(z52|@)F?|4v3`=4TPQ3y$N7VkV$l9+maXM?k$mYpdEjNrJ!su zs;;*_?a+HP*jAfVlAs>NCM-}Sp#kqBN%F*Sz_Ydn+iBF#%-$`(lsc#kIZ!&w@jrUy zVIh77Z}Wi!4zg-iIC4%TRB9K@am&9#7)dcn;4-rQs$d0&noy4s(jGMAup?R!z~BRr z4xYK*H{5G&3#Fi4hpgq(y23Dmo%$#;gE}(IP-* zE+3aYO0WC_T${TW_5*(S9Jy9h&m?`l{M4jDSVb*Mq{$9 zqVIun2b#r8U@}G%wAF+t3^M)a%vC!;xlquZhiosXPo^xWGNUfK_Xqg)S#9f{t!&h0d=_|^an9GhmOyuyI2A6k=k zwa~zzL|}{Qpt{)KuD^&q40*Hiso3xg9!x| zarF9_D>k!Qw{gy~k-h_rtfZr-?}f+&prpcWGr`$W-W3Ls#IHiOFDvPkPIhTL;`KIB zZEuP&foWvX_%r(9$N4at?#(6`)WtC4!eCeeIky47d^Rp(M8e#KCu?np1F=L~^fMIZ z0R|8x1U8JLiEw5`X$_=+Q2?l7qX0eP0y8>>UkPAd%f=M6mJNBKhA2_Ov%uqm#|69q z2}!lkQ8Auv$OhBJCiu9@0uAi|S6(r8TP_tk-VM5bTNv!F5K+Pcj1WZ!!2pIb5RE(4 z4&pb{05;Q5O-#_ZZ^_8^cbW^~cS3jq=rkfI;^=FPlF>45$-ByUN#|bKwEU`rQ}g{~ zA(|wBggxEn>9xsqerGv2Pj!u5?-w)j*`ac%2HpvU1pwy-N}jSk-W+WI08-#u1=~`F z3Bx>nF#G=iZX6%y?J^Rq7y=CrAH%B*&>G(@kFE%5!JFit?*ic*;&_~oBb-Y@+0<@7 zPZ?KUO#|v7=d%NO3OFMG(vI+3ZDz`s(=GffIlh-50ujaQ@^}M=ZdH~x0h3(Ss__~? zDnVq!+Z49=4RY=Z4(DW4DpUZW0|qWfGj#C5&1|>(eYg*GqsF-ESL1l?SpDU(O;8En{1>pL|sZXo#wTby#l;dXq;+Pfk9V zg{_YY3={~!<0TrobpQ@pA|D4dLqThIID!N+C@7%Wnu-mznyFHZ=zs|+LB7hWoGW_l z6-59fMDo)yHA{eV7gchrBreeT?xQjL{*lu zeLkSj3dSHH0iyUB%hv3S(iTVoCn9$$P(=XCY0-*iMGb->m<3u4ROLPI!wG;xQpEE8 z^N$I8lBs{;;ef7X7+k)aA6%OS(Tv$2LG{KBr=Yf$`gQlhk|`h=6+4jt6ru{C-oqL) z<(x3YPbXexL?}4mi1SAvjcO=q*W(&GO9E9vIO7O4UA8A$xR6z6PsF^d9>InNw0Xql z72jEM+IK8vXL>h|(~eQjy<)%$UmO6yF;OuDW7UYzXiP0{tMg*7lQ_m#tWd8ByRe+k zOtm&9wb#ef4_awXIw`-57i)QeB*L%~Bn&+N0JItr#JC-q#(-s{IvPLk7#Vc@bO(ba zsEZhiG3GHnu?nW7Dfhp#El5cPsAvm(boP{hN*su^eI`E4Do`XZR4sRn6V(Ttaf87c z4v!GaSS2n$sGsw;hjv<|RU2ndFuqwZBdStb&G&)tYwj) zmd9fwxH&g~2z+2U*sCUR0e&!+B;YJv2l0(0NQy}m^c;C28Uxe!_{ieoU|>T~27;~; zs@pgATuuzw7?{20;~YWirquKPCus@6tRM09TbeTYr~PJ?X(Sh`8BQ~6;_1TZ0|I|F>&WRAFl z6aX78hN)-=Jl1@$n0Y%u^kk@WJ1ON5KKJ*<)(TJ2-WlFCun@@*k5$E~GGmHiL-=4t0nUV<-~)=kUz`zQg+4cL2ariFbz4>M5I(Z~hsGt7P^I(6ZBB^7 zaUgd#-tX@@9@u2XYN6$rq`$VGL0r(KG@@P@dg(OUx*OIa(G7|SJUF1|%UqHjBE%}> z4dlcO6q*ax%ohcDr|?0L4g>!HF9kQBRXwZvIRI$lxCARlVh39N`ehRW@-Rug6<5`8 z^YYDbTbhtYF%ZzJP6ANLO;L{lC=FC~+dMJFK_n*LhtC;ZY+aJO6b+l15TnrgfS3wZ zFnM`1!)ij*M)AICLw0-Kc<%vYg{aXE*0^6{I=IxCq)&r%>s7CLR=6}n0;POK_RX<% z*|U<64S>Z6MHa=@rdlSNABM8-VV(&AZ8yYQipcs%w4#f&`LRdrs}92>G#ON4L(Em+ zNFpNxO*sPu1EK=tR-qUR6;TIq6V4Yz-l}^I)g)8Nx48fZ$I=@}BpKfD)Ing$E~yoS z6xd6VCWZr0(TY!HJq08k9VK_81))qX{9rzq z2|)|!j=94uyaFs11-`H;sm3jE*~%aX72%ACH_y%{q7fZb2KeJFXtYXJO{cuV{F4g) zV+0>A6oIuKRyl$s*ew9jY01UIhao&{YWv`9i8XjM#G|^T`Qr`W_faB2F0!VWSy`+E z1Ra0?K!KE~Y_Bt8F*FQLd78s^SfSyu_TvK`9!Dyyn)@P1Iuc@YwL}6~R+nJ`p_K)z z7k6$@q}|H$=FD<9rjr9dl=0z1Z!Nfd-`VhniYF920W7vEX|zZdHGvmOQU{A%YdoN+ z4TsS_%zZ@3r?|aV4iEgrB;MLc@+Z_|M|gCrhG~cl(;Na7T!`9HHdEB`mOCQ#_^!Ap zF;wz=aWi$b?0#2ba0s!3}Vu7j%Ytag9z+5CI2rstoyk|EK`i=v@cnBRB z>+n8_x%36&Ty2kybd9^)(O11c`t^u%U|Td@0m<@sUYveRwo9gN#`LR0VI;VnN*p3I zR5SVyo*04cos6Tg5|ar6Q=O^XVpJ9FqFR$`HjV)Wvgz(z@gG1=a;G|ZeEqR3Pjz&wT!Hr_ZP76Q06SxVx@Yt$if%Ni@9&z{x#9ZL5Z}@nH0gDC?-m|| z#`8_t{NjgOn42}C>jAL(Yui}?1#7;0#G&M3IxEg1Jn_rdql*eM4)cpm@jk_@AaWWf zvdr>>)J^ZHj|Jn?0IraXIp-cIF>v^qcOul9h??99?gktIO(YF;7jSH1($h`L&>9LI ztG&$LzxSg7_RRK-Tc&#xq-5X9Si%Z;lTpDb03**o8OhO+`2zAt7 zjCoz9;U81}u}FbU5Fd}WO}g0!sa=oO)qmsUibjW(BWmko{~YVc0qK zMZlv7mEdqJOjfA9UU!6&V0@pEec=1#@MUL(^5CvPbK6#{;?*kSiaQ2T9FW>ZI=b&25Hbj4kPrwk5|#Oz`glYyx7hVTTn?fYk)!(gWguS%D*8YQH&AxDe&{e;Kqb+7I6lHZ}mslMi~k8Zh>Vknnym z14f#VyTJ+yMjZbDt}< +{% for page in site.categories.hp-50g %} +

  • {{ page.title }}
  • +{% endfor %} + diff --git a/know-how/hardware/hp-50g/_posts/2017-03-18-missed-keypresses.md b/know-how/hardware/hp-50g/_posts/2017-03-18-missed-keypresses.md new file mode 100644 index 0000000..a630d63 --- /dev/null +++ b/know-how/hardware/hp-50g/_posts/2017-03-18-missed-keypresses.md @@ -0,0 +1,57 @@ +--- +title: HP 50g and missed keypresses +layout: default +language: en +created: 2017-03-18 22:29:30 +0100 +updated: 2017-03-18 22:29:30 +0100 +toc: false +tags: + - know-how + - hardware + - hp + - 50g + - calculator +--- +The default key debouncing wait time in the HP 50g is very long so that it misses lots of +keypresses when typing fast. E.g. when typing `500` the calculator only registers `50`. This +behaviour is controlled by the `KEYTIME` setting. + +The `KEYTIME` setting specifies the number of processor ticks, any further keypresses are ignored +after a key was pressed. The default value is **1138** which resembles about 140 ms. + +Setting this to e.g. **500** (~61 ms) makes entering numbers much more reliable. Some users even +disabled it by setting it to **0** and had no problems at all. + + +Changing the value +================== + +To set the `KEYTIME` setting to **500**, press the following keys (in RPN mode): + +1. 500 +1. ENTER +1. ALPHAALPHA +1. KEYTIME +1. STO ▶ + +But this only works for the current session. After a reboot of the device, the value will be back +to the default value of **1138** again. + + +Changing the value on bootup +============================ + +To have this value changed on every bootup of the calculator, we have to save the instructions +to a special variable called `STARTUP`. To do this, press the following keys (in RPN mode): + +1. + (this will insert `<< >>` and place the cursor in the middle) +1. 500 +1. 0 (this will insert a `→`) +1. ALPHAALPHA +1. KEYTIME +1. ENTER +1. STARTUP +1. STO ▶ + +This stores the instruction into the special variable `STARTUP` which gets evaluated on every +bootup.