From f0a6a9e6419678a65776983b8e3cb0f429a439c4 Mon Sep 17 00:00:00 2001 From: Markus Birth Date: Thu, 19 Feb 2015 00:32:05 +0100 Subject: [PATCH] Added 2 more posts. --- _posts/2009-02-01-hp-laserjet-3p.md | 28 ++++++++++ _posts/2009-03-31-fortuna-gpsmart-bt.md | 67 ++++++++++++++++++++++++ assets/gpsmart.jpg | Bin 0 -> 66915 bytes assets/usb-b2s.jpg | Bin 0 -> 30027 bytes 4 files changed, 95 insertions(+) create mode 100644 _posts/2009-02-01-hp-laserjet-3p.md create mode 100644 _posts/2009-03-31-fortuna-gpsmart-bt.md create mode 100644 assets/gpsmart.jpg create mode 100644 assets/usb-b2s.jpg diff --git a/_posts/2009-02-01-hp-laserjet-3p.md b/_posts/2009-02-01-hp-laserjet-3p.md new file mode 100644 index 0000000..0a65053 --- /dev/null +++ b/_posts/2009-02-01-hp-laserjet-3p.md @@ -0,0 +1,28 @@ +--- +title: hp Laserjet IIIp +layout: default +created: 2009-02-01 17:28:28 +0100 +toc: false +tags: + - know-how + - hardware + - hp + - laserjet +--- +Endless feeding +=============== + +If the printer starts feeding a blank page between 2 printed pages or is endlessly feeding blank pages instead of printing something, +you might have the problems mentioned in [this forum](http://forums1.itrc.hp.com/service/forums/questionanswer.do?admit=716493758+1118648472608+28353475&threadId=291567). + +The printer has two coils in the paper cartridge which pull a metal sheet for recognizing the end of a sheet. There are rubber pads attached so that there are no \*click\* +noises while printing. But this rubber gets soft and sticky when it's old and thus the metal sheets stick to the coils and the printer "thinks" it is still feeding the page and feeds more waiting for the end of the page. + +**Solution:** Remove the paper catridge, turn the printer upside down and remove the 4 thumb screws and the cartridge housing. +On the top of the housing remove the 3 screws on the wider side and the plastic cap. Now you should see the two coils. + +Now you have different options: + + * remove the rubber pads completely (don't wonder about the clicking noise when printing!) + * replace the rubber pads + * *my solution:* Put scotch tape on the sticky pads so that they don't stick to the coils anymore diff --git a/_posts/2009-03-31-fortuna-gpsmart-bt.md b/_posts/2009-03-31-fortuna-gpsmart-bt.md new file mode 100644 index 0000000..b7dde26 --- /dev/null +++ b/_posts/2009-03-31-fortuna-gpsmart-bt.md @@ -0,0 +1,67 @@ +--- +title: Fortuna GPSmart BT +layout: default +created: 2009-03-31 11:53:41 +0200 +toc: false +tags: + - know-how + - hardware + - fortuna + - gpsmart + - gps +--- +**Homepage:** [fortuna.com.tw](http://www.fortuna.com.tw/gpsmart.htm) + +Fortuna GPSmart + + +No fix since 2005-07-11 +======================= + +There is an error in the original firmware of the GPSmart that the device almost never get a fix since July, 11th 2005. +The function to calculate the visible satellites is erroneus and gives wrong values since that date. So the device searches for satellites which are not visible. + +On [this page on eXpansys](http://www.expansys.com/ft.aspx?code=105113&thread=39), *johnr_61* writes that he patched the firmware with new ephemeris data +so that everything works fine again. This patch should work until 2010-11-22. + + +Update firmware +=============== + +Connect the device with the special cable. (Normal Mini-USB cables don't work since the devices actually provides only a standard serial interface +which has to be converted to normal USB using the `PL2303`-IC from Prolific.) + +Use *FortunaSync* to establish the connection (*Link to Fortuna GPS*) and then use *SirfFlash* to upload the new firmware. + +

+There are some devices where the flashing doesn't work. If you try to establish a connection using *FortunaSync*, the device locks up. +Some of these devices have a jumper `R30` on the PCB which should be shorted to get directly into flashing mode. Mine didn't have one +but had a `J2` which might had the same effect, but it still didn't work. After some trying I found out that this device goes into +the *SiRF Binary*-communication mode instead of the *NMEA*-mode the newer devices go into. So if you use *SirfDemo* to switch the +device to NMEA-format, the firmware upgrade works until the device reboots itself. After that it's back into SiRF Binary mode and the upgrade fails. +

+ + +Power cable +=========== + +You might have noticed that you can't power the GPSmart using a normal USB-to-MiniUSB cable. The backlight for the display can be switched +on and off, but the display itself doesn't show anything. Since I had the same problem with my *HTC Universal*, I found a solution +at [forum.xda-developers.com](http://forum.xda-developers.com/showthread.php?t=285768). According to [Wikipedia](http://en.wikipedia.org/wiki/Universal_Serial_Bus#USB_cables), +the 4th pin is used for detection of *USB On-The-Go*, i.e. whether the other device provides power or not. Interestingly, the roles seem a bit mixed up here. +According to Wikipedia, if pin #4 is grounded, the socket should provide power. Here it is that pin #4 has to be grounded for the device to accept power (for charging). + +After opening the GPSmart and bridging pins 4 and 5, I could successfully power the device via a normal USB-to-MiniUSB cable. After removing the bridge, the device powered off. + +My first idea for a solution was to use conductive lacquer coat to bridge the pins inside the MiniUSB plug. But then I remembered I had some adaptor: + +![USB-B to Mini adapter]({{ site.url }}/assets/usb-b2s.jpg) + +And lucky for me, the pins were already bridged in this thing. So I can now power my GPSmart using a normal USB extension cable and this adaptor. + + +Sync cable +========== + +The MiniUSB port on the GPSmart is not really a USB interface. The GPSmart has a serial interface and also uses the port for power. +The FortunaSync cable uses a [FTDI FT232BM](http://www.ftdichip.com/FTProducts.htm#FT232BM) which also controls pin #4 (see above). This IC converts the serial signals to USB. diff --git a/assets/gpsmart.jpg b/assets/gpsmart.jpg new file mode 100644 index 0000000000000000000000000000000000000000..ded745d343e71bff827b84499f6682bec0f0b757 GIT binary patch literal 66915 zcmbrlbyQnl6fPJXic{Q*wzw2`D5Y3&g1Z(8!QCldym)~E#Whd}E=59%wYU|E6FfM< zCcpV-)~xm3n>RBj*;!flx8J;TGr!+SDv%dC- zc$0QYAyf?MXVZ=RvyQ-{RJ0NHj7op2XX{ncM8*EnE(0|fJ!%>>tpEF5XsE}5gN2Lg zl_dqBWBrL&fB*yadr}NifE)l>5kqYi|Gy`v`PS+D?t|=ogYh-+e-E39PXKSaqEQm> zca1LMzq!ISA}itZ=)WYtm{g2cxGV88H{NUZg%S0Rl9TB>>PA)wCeW>EadD>|to9aV zc8d+uA*gvpMf6C%co{RcbT_2<@GW1$KiR(!eMjq6f=n8&oOjo_YCOdN%be`S|IFEm z2kGi*{66v#edQ9kK{&*e5s2iZeq}S_K2%MACPG3x=Jk+6n}-y6#tO%$sis%g#B>jnw7HCl(7T<5ey$*uLxp0k>Qt{+UxIqd5Lpy|G$ z+0VTBPwzrT?g9`VMm)Ft(+5|ErdSh(?FZIT9aBjLQiMODUx(NhYf>@Yja3~d))xXMYHeG#QaI>-0$5nEZ5X>?1RcIZJL$PPy@%KhdyH#o zb4CwEUi4=5J@!_V%j}B&JuW!9I(-1gV@1_nk`c%K*z7aQzqxr#X08YNC;+*2_viUk zWf{WE!?H6WqMY8M)d2*WnpX^S@R=9$4F!ZVpq7)EPNF^8C@LD^oxEAS4oqV^{eVWt z>%dgjv=r~OW`F;Uo$`I+LP6^7=S2E*Oh=U&t!oYImsA9uV(FZ7F-?lAP_B0}A;b-L zn>MM#Xy3$lrBfhR+|Pu&xlEb}1a}ouJRm%82M7fIKZw6Zmw?hSb(Vv?2pNFoZX@~q zev-m=R&MM^o6U20qM!q_!lP}tt_%HPWWx+jvh-`Fg_bTsyKIqazwg0q26y`>bjPls z#)U8*9qHjWvxJ3DB zZfV``b}tN<`F_{1)ue;Cc_v?$Hl9M`8kdpYNE+$_*xw^PKPItLaXl&GuZZ?`Vz@u)&{g3stO0Qj`&bb1YJeo$`uFk(a>G=$b)G?U z&CIs_4%qki7wCHF3O?WGy^O8|VMD2x-~o8^u@rq(jAhd{H0rW8W#8d}KQ{gbuEf8!-frM1q{Y%6q)QLxz!yy5o{V1vF}2`zt+Gkr8V6*74$BIHpDCCJ9OWx$kpeVx<#E0m6Bk|=$6+GGDKg$ z{1@8w1Tgn_ZEw=y@G+mUT468v36OSYlWFdQ)-dRQ$#NIsE1qPY{^QQ%ub{yY5ms^= z*Gq*}!}QT{_h#K;Vr46!8QwvvdA6KLvJmI$V2Q6zlct{TX3o+L*Si zos_^M6>`({H&D@3i$b4(QKFQL6`e;v;xT8@Tb*7!Jdv4xj)x5G-04;ea!#?-2$7JB z<^9yZ9;Wy`C>j_;ZR=rSVjV-x^1mf<=8<(jp=*@w=SxPTO8CNO00q3WhAOuxO4hL- zSriHX$a7$_{906K<0y%x~8(WQ@|$8($KQZ@;$bFH?Op77sh zW`6mXEs!_%j*TyWNtzDKAIx96$ZL!**GpF})DD{cRoHfGuQ?1KKGZXw(k(YgcUk)J zM)Pj1L(X)A(FOkOS$#f3@+#e9SC`mew_-8UyOo)@w|wFJFP(E|b*TZUOVaxx5bX+< zycv|Aar*=)XBS#9dGI}$ho|7L_T`_zcN1Uo0{ecD2ao!2?|_gfP5G;(%R^kqPPL|P zc@k#~(*rYpMd^t$<$IvOkZ}77DCkASt<{v%{@mKx+QSndnVp7m5FPw4(Er6|@EeQ4 zo5Z!yqWU5jiJ*RGbBl%p73i9-NVAkA!&8Oz5Pbg-)=-#v-* zOR!Fm30Y1AA54SKAHXRh5$@e+OaQTqCqS4&yz4r4IVid|aCw9vz-NB+-jvP=iPFA7 zsU@y`pr*siEBHT^i_-`H4F;R5mWJMEx&;dRDrb2RufgyC)uE|~n{ExvoiscUTA(nP z`>G?$U|F8!C`2lp5P9O&YZ^eeFdnZxRd}gLuIqj=aHAENKibXfVHRZ6fSGo zQLp;)AZXgF=c*zgZK9%ce<2c0^z;ILzg*5PTwUkWWFCwJL+20RyFENTOwTs0t9LWM zP$&9DU`C439k~RU4nHVcQrlKy%NuM7$}Ahv6&?iFLn)^TMrnLkkPr z&R1R4n#~e1?t5c+ssC|Dl4TC*Z?t}j2DSUqfj7I8U-ON4ZP$-1b7!fKzVWVm0<>wa zxs_5r%cdYddfrSiZM`EcI+POS<*>dgsKYUhrAMA>u}rsoCVplQk^3W@W#Yb=rtEv{ zhk1_A!UG*zKZ$PXVS-MH7VnD=8bu0uz}MptYteJ5Io2^;{|_!0A}RXxCrKlNCU1MI zhjM0lM?BwA(>tui$*-uzbKYdc6_3CboAxU|n{b@WJOQ!<^EjLe7q6sy0V6~m9=zfzl9L4ft(4vC}=@Sf@=JjEglVnTgS5gsi>KUO|gFXi+jWsjz)-Io;5Rkd%oxZ$8^tHFO zb;mqHA3~vnb!v*vw_4?Y!z^KpUVPq>M7u5zT4wS+*}8;!5+7I4x{pvk6A2Y_d}9mp zvDXqtb}43xT$^O1l5xe8?JZ5zj0d`lCOCAGptWw=KAHyD5IW5FCS5bOoqMFjHFE?pdFn3^8nm2=TcK}fICU> z_Ej5ulLwd9My=yrSklpB8tKgNlVY_-KrWV(m1RQte`{f$B1Yj_nw>Yxhq5`bxt!a+hMSC@UkU-t9q6pNI5<2j@ zJ=xye4x+PBSEF18S@F8OAkZC`IH(N7h$qxWd$xuCZdGo8+T$f~=LaxJZXFYVhKURj zOAZ_Vtjh<~wtJY_qaO8pwC%CA$yqrRtn!9vG$W%X$%0`yhj zd6NaY2Vc2hJHT47Q|O@ARBp6|0#7(z_9`pp6JW*^`-Lw05xiAQGPn)-ToF>7V%7M{ z#dGP!F{PYZ9S&v24GpZH#zCC!k=)2SM$~8be;MR(3aKr;-luVKHe++I@_jX8Q+Xji zXI|r2KdtLN^BTlIoU=9%BBv$opQrR`ps#T+qoMsnSM`u2`8_*tQ_>;M_I1x|LMvmOhULo#LFb<~Mz3$eDMh=(TX*a3r3KCGnJhfe?-c&nmNjJw%sH8!zwF&# z$TBKurCXg_CW+ArYNTZH+0&8_i+R09p-`)bpH7r6$BI0=hiqRh4a_ZXpzmvBhKnKS z7@;rBQ>Mw@&tbKsdCdJQ5(E>UfZLX~juNr<|A8pv+YnOg?eK2IOVwJUeD-)8mx8Xa zx{kEA!Plxax)9F4Y%x?zyWpRueV0o&5pmz>fHGg|Qt|E6)gk6N?R)%xoT?MrDbfR&mkN+ z$d}sp+}gV5F|QSQn{Fra4$_(=Cs-z8)Ay+P7A<0{ny+H zla7!wT2->rn<_-As6D-UXBq}gsff8Qc9N%RWb*g-KD*m6)vO3|4Nj>V%2s~%?_&+E z6}T#T(llVhbo4LFKxnU4+Ysw}{=b-}WaCOmBclA}$_Gp8M6kZR=Pypx6M!%3SkP|FwYrU&YbP_u4AcU_kp_^H z5Iq50P3>x*nOxI?gNVM8LUUxolG#K|S)B~22pjPsm#w^#jy^Mk6uv@cd>LcO=>rqN?C#Lw40Jh30zu(p0p7$0dA+qeH z6_mf&Uo5te7sC%&pTAv?Ao>KwF;s+{%34srJ6Z2{9aw(X@m*@~+k#d4pB%$Qt_U_9 z8!@J&+OP&B(&l}}_rz5(Onq&d(C^NA3WIilati{B&YJjcf?R&2J$F@s?wD4 zGK%Q3;WpGieDn#RyD<*|q|6uTOj8pVJiCcRuW_7FG(~{C=Fi|>;Bz_~)3GuIhhCkK z`oJ6TztE^j@)!?~KW;om4=48sKDBJLoEGE?dRWEGW9u-v>l-=~=lWKfx=fuf&ZO{V z!+1)ugD}}{@by_Pg;AP`D30C*=IRz27^-uz?3HL-&|$H;jZwb_wmgW_{f4K{ZIsb+ zsE7gG_P7UKB1$*BW!0G*@hE_|d|s&4d+_o(n|~BO^P)XM;S?xv<|aM3b-7+L5J#28 z@KaqG0*$7AdjGC@|W0K2h(0&GZ7%M$B(9!qAdu`UHu~V;k1=!Zdh^C z)7BxaD7{y6A`EY9>$!qJ=eTgtt{=YWEg@}-G{_UC(zWhTX}$41UwgN z&qku zpCSbyC!F!WkfmIkyzds|Q6uM#108Ecqp&6FZ-GfIf&h#bSP}wbe7&|X^3ma@Y3~Ac zLg_nbi!j8W_NMIF80Q$RFd)}p_Xw5QXHw(5(a{alSR?aLxuNVlrc~l0Ut&v%j{Fu;aZF?137)m8} zw;XIE>n^<0fcva_pENd5vlE^8c6s`Iu$@aX+D7sijSZiSu=RncThPsU@ZAc;eqW&{ z-}Iqp;ak3NWFe=svt2TWjk)IG-3_4RAIsU8Rrm-Nmf3sm)$Iv)P^_-K(@?Qwh=Ew$5#_;@xYRlYo64 z(NboqJUBJ4q6UIb`jv5v#Ei22SdT2@DHjci zwxxMBm%W4}9v*AavEnKs(%G2E6olHI0NPddn^@LQrXS6e_T|b)i9d= zG2Q8u;O(jU@Xzx3%(6QXZ_hG4r$Ybg?^{dy*=@K^#_n0ar8F-!#}R#mV{+eO1i)K{ z8k`hkif`A-i42B~Tu}+>Q_kf^dWkM*suNB-@Z{!3Ig0ert`>$VI`j4(wHpqbxBAKr z&_@hIxQD3V@(YhF17?N`ZOARdaq2`&IAY7v@1TnOwsupnigohGta$gsL!%B@)!p9I(1sFU^TJ z568wAi&p)Pn>x{K>YR08r@AEJN;l^{hW%6HM{b<+6uxRVT3L!zLrtAd=mBBU#BvyM z<2?jl>l<1a<45na#}GlsvN7HIG%hMT|J$g}@(1Gh1KZtyAw;i4)D{~&Jl(`Z;g@&b zk1tm=WnU^Ls$DPh0Kr`hHiP;2$+56elJEb>6l{0`iJ|91-TO(S!cjWf;Py4}8Qm>7 zj!-eW{a(!%;|Q9aT^D_lBD0Vy1^mM|YtF8y>`@bP)p{2YM)x4wR*_e1hfz6$yBFxa z@X%Ydnp@s0PrVT3;bL~XRz5)XX|RT_;7aQ9@)eBQqeA_jY0<*;K z^aQBLF6%;Y7ggAcvY~l~WoL0N`EWXjHA2S^9^2CG7gkb1yPv2bX6Gg~;|@cfs+WU0 z;}?<2D7a7N2{1{A-`I7dCm?J9CEe5ln^~UO&~C&BQQWf`acp=|D5LoxnCJH$k!fY z=?l^fguw*WO7WQfi8o260~a#6 za&aoqDdV44qHAzI`g=Cs6Ge`z;n;z{V1oKC8LqzziB3|>Bl#;Y=8N6~rH9a{8ZkQm zDIH2b@b-oeGyGWmNy-gXP8j$tTB2!u@~Q>}IRA>AytK*4v;K`QZEDjt%{c zX2x^k+}PsFwG$-VHLrFheQc0SV`Sw)w)gi*LcoENWVWA@HlCxcwUui3iCg3~@{85r zph$9!TN54s``OI&WR9&WBb%HXN34CKT4 z>1831i}qj zn2{4acSc{>Z0Prnh=Y|H321tNs_2=JO%u5dt;Gj4meNE8Hm_$};tCse6c2}{Z=j;z zO3Tvgo2r;AqJ5;BB}?9w-!+R4{MtP~NHj1XHj^sSlQB>(+P?j$J@TjPGmw*Oc)*75 z;|X*dOo*sxcCCSY$^17Fq`tAW!PDOtsJ!uhWRB8N7o_oymo^ImU``QDQ**vy| zrstbTDL=F{Cv17SJpm-Xw7cY|t9CCvs0>vu9LAol!%%+mCoA$Zua*dpwF1AIUV?x7 zEv30glTl!h=T*TH)Q(;#ZKpeHr*Yd@zga{^Pe}>?gWFe5t~cBO--DL^gJg;$ewNJy zf`*t*!wpS=+KH0Qk@CuF?}E(C_99AbF_uBnmRf!nBmAo;0R6Tx)b(+K-g1Bj1tqpL z_t4bVlu=k?I+Q!Vv`IGt@aaTf+!S`EN?11EHwYeCE|$jKJS)sHx!;ZQ5^VKYM7B<27OJ(9toj zP|mVZDfFYxNGY{{92P~f%_>epdBS`Xlz39jN>xxPGTBXda^%&`kzRtQ8_ozv+iQoC zUpHUXgy@IU57^&0bqv~TOV!tFrp@rDHV%5ea7g}hXM2brePbKf1YJKok7>qZ{gy3^ zNjbW85?pdLvoy$YN&;hyF~pths&EG5vZGQ?R&{ zsrqB2fQ}eW=LR1Z5|zpk3S}341?JH`_hFDVh4(NCQM5y&QDD$cHkCtt3|H2drT&=J zoY*h&Yu4bgjV!e?g}FJ_Ff*OWoB@iVVb~-#WMYInDMoY1%W3GRtrb={Jf2@4t+g4_ zc;5v{HbC%7yWA}wRRTifq7gRro79_P${1zGe(i8nKIb*%3rfDBfJUO(FL|>T`z?_BGQWQ)`)Fa!AzxM}u^N}V-{p!^zr0Nh|H5LU=fPXEr1WozZ4b+=TyP6Znb`UF2NPBR`bpy>aHCsoa^J*}EmK`(4$0XDbVny_0ivQ5vFXCr?IqNDUga zHGKGRB^8h}iSqU&@n1N7;1fjdVDJlT#fbseR+e9w-PZFbs|C~FzaxmR{0E+98z2yb z@-zRBZ2N!L-aG*~VK1)AGQ|jdOTXwY{hlA;E@1}wq?&h&x^IGcuUD?{s3=jZ&^x9?J!|1xxjX9Yd2PcAajN_dDcBzWW#7z<7{kts3O{WDAKVhlQNS zlC|8i=Qz>dYnl>c*hBL-`PFKMi8?KQY{I5iLoF@oU3l{9w;5DKS69P|KlS95eKdWM zs~o@Q3QGC%-SN41qj&RojC$yxeS~kD;c$()eS5)<)XBQbac~_{yu!H;SXXi~%V@Z@u{a|{1)iv9yWS6nJl1g8Hb@<9}F z_W6=eMKW$~O;Gd#mE5X>yq(TuqP(CSe68N{b7@t)FFtj%AZm}cEj zQfpeStBR~BWRKUSAmUiT3jgTOS zuU=}fAhz)JD#ejuU=7_Wg6Rd*|ExeS5uq>{H2N27{6kuL-sUk^?= z9>dTu>#M3##cqJqteID}3J|RP~lvxP_$s%{cQ3iBgW) z&5f-WHaO%pJ&$f4k zCtl^+@IjK_lIg1*2V$wr=44E7UrKFS?%dliSyE1oXPv&l(nzbVDfTL4{{DtZd6I%9 zD|6wwdlT-^iPtYEWT5I6m+*zMoR!W5u_0Se_4N zRVjpC|5EB37BL(m{{tRtUpWj;*?R)?2;L7Q8KGDIBmGBhS&TycMrl&r7a+dekrYD4 zD~sQBI2_!Ci=IzUQg+n8jAUmLpXq!d6N`MTOY$%t(i?4UT28dAu;gz?f)Yh6LazyC z39ayYV@)s48&L^@tFrGQCt}a6Yd>@>P^>sqYes%uQ=wwZXz2(H6a3^0O?3QB^2w+m zLdvxAu@^=Qix1LV=c9VFxJ#<5vk=X3W`5$5yw@&;VGE{rD&)OtAK{qYSpQNTc#GRK zsvYow_)*8x7wL0I0XNPd;S5g$s!Ld1{$j4pD(NU=a!TvPZ5l^^wvX#e`HD!@7l73Tzhga8(wC& zJrn$^N>|W&u$}}q%rP|lH9a>wDxK^$&YH!BTw~xSIQ&@)n0Q-}0iIbdnSJHGijU`7 zgWokCySCSvb+`+jj$yMD^g7@q`jh2kxc9l8&vgJXV$nr<%lr&Y*%#cR5gNt-6 zl9FY={>N3Du7Z@&0y=2jE2S{!k|r&RlPRg6dZB3Xir~swXJDc?fgk6wX;9=c4kV`i zFh4I#ycrA?0jfEtRr;wFFL>`LkM1Pa?}t-Z zH@ar?Vm4^aCbTV_Desvl$JwY_zr6zGs<4KYFcf(B-4A7csM4XZ=OopjcJE`T{q=6h zh@`)R!k)EWJhbQoxj8+HGv0jUf#Cr^a8A;!&7_{`BN_2hD_R~J-{ z=&iR1Cr@iTHp85Gtm(T+u(sfW_XL~#zZHQt*7AS0u^${T;Y@TljIj)s3&UYg00DP) zf^_xzK){Droz9Y_qPkl7FJr|XuS5%n1VMU29|xrh*GhZu>l`)NDw)T{?zCotY7Ynm zU}0vL|14R}P3GUQ$Z)i>|TQTwQ@4y;AgdS^4c^zz+3 z`;wbq<_G)8fbOd=>4Z}{H3QbGMABDx8(g&E!Yjmb>nU-9?bJDp+>~a^I)k21faqmw zCD+4FR)Q~R#%Pt;>lUk`h=u%1Mym}BCcZbR!GtGso8b9+4g+5x+CnB}+X6lyFr@A_ zz#HNG>~PFHeh;gosKTOfKSYTig+!<2-J2t8ZlJ7Ac$Tq z;>lxagxWMEbD7IRpwUWL!34YTJZ6^Io?n}+Oo-O`Fpu#rZEC$JHNaRuKHhUZoJW=2 z6|d8bVZ62Rk+lqhnSqWqVr%Vk0>+q!Z?|-dRXFb9QNe@Ng*fSobhbZX&}sRzhaFMqyc^2(Y&7>qk-^9f432&5n^^zD>TlE#HMVn|!wU*$n(Zs5iOzP!PPp^A-jlTBZhz&dj zor#EMtv>{Sb# zhBE}Lle@Gb&W$U23^W&HIZS|z`~?teRG2Y&2z@N@NHIXLom1Motqn}jsG?OaS%j&uViGMYHhK z=33>lN2^%vEBOkCO6wm?U{PelYcO3#`lCzV*-}SjjkF_yg~95f(mn6gJlrZQSzwj@ z$Tq6YQD@>NJ-HwPLd6=oQj!pd-^-+Z4q{V<03ly{C z`Sd6?+occiwQvgsnaZ)MgG2kPd4}gihg0N|G|)5f%0pJ2MEN0wYYq2~Ye!9@OueV1 zHJh|hhQ5Ki3r`Ch%jKGt4?~9qtYYmw!tgt>lbI$h&ZJ#w1ycjxXWV+ez^28NUAInK zQ%eFcnWRLF^*=v>Xutfz8esmE^gGXq_-ZlHwZdv24G_>S#<@D4J*}e|!uIH&5tL{h zBh&AKwLxa)tVbZw|9>KNXsuG&i#XpUn(3je*S|`p-b@a(6!0x+k1Mklb}|(T!f9`9 zV<7F_IVkJjnc&6vB1Va9M>zEQZS5R(Yg#*kxQ1)=#bZSQWYdX=ddv|&h3#bDse-=$ zvgEQ+VwzcwNCafUhz&dW8KP8C{p_IE)Dmn44s#@8y$(Kjy?&i_VEJrz5O+b926yL? ztwtG|9^x@U!wAy)vaN62!b+J?k6D1XklIJ;F=Kg;C~$v4)=P-s(<`Lm`JAaT?E~eE zNPZ)KVCUcxPzn3h@ghzNZ3@qSvT<(K5654tJUH6~X4fM{6in5*(whu`dQ^ELlkL=*qEunDEP**Gl#$a z-vRnweyU(Rx{v7=-~X}qZ?#&g+qSM5nrDdMu8^0PZLpqjtC-lr6Ce}8HvV@?OUD*I zeV?)udQS2aNXQQCYHdGgtx4gXynrQ%)bpuQEq{FoD;~nkjN=>au!0jDcr9&`OtFi~h-`jK|16E=R8LMJ{g&i8-tMFI`OzC&K=tHqhAm6@93bX`@}PD8zE|4lp!p{2RaU?oEQTG2 zMbJ!9*%M%C4%W$8+K}L+R_tZ!Q!wx0T`v~^k)mKM+L6A0oTKew&Rj_TK*dB%`pxIj z(y~YR2|#{z-Pa|lS~F>2oCszRcrBB3w`xGE`S|su=^xf_5RLL+=YZXx7cQ{w`-k%z}~$4fg; z0QI7>dq_CK64dp40H0~>CK5ZBc3ca?i=C&nXY}&rbt`O;L>w01X}X!rl{R5tIP=+N zkVQ0_N@{_qkj|3&Q?t6@EKhWoAMEWwkXUwoF;FrmTP%3o~a7!PH zi5t}~d@`E1mohx^fRBtazAxunQGf8c@1G?c^3H^NWHC!nFo)?IbgX&+eCEN;BXT`y zRULic^i(SDP3QQp*>VK@cCwHe!yURiQ@NdIobx@|sPJ21TNDBB^gLtJ8J`}3eY3Ci zkl^X~tLMt^&W8*bHT5~nOCt0vMUMV>$GjTBFKp|uFG${vk{R_(X043nGaG07P=K40 zrQlgG?ULpxb~+R#f>qKn0Dk{KRfCT{STg7;+V7)TZxaQRU&^qeS1D$9Ijuzzv@Rrk zd>w%ll$NTzZ_h>JHj~`OJbJ{Hn~q6}tH<}6)hHY4esO(FJ7;6Iz?Mf8h)s9rYFx2v z#qf!oZ3o1d4s;j0-&FiEcTfx1A>T8hX7AGFBb&Hv739b0Jn@r<9rS^d^fa zSG|6ksoem&0NeL^S7m(4sXOL%ZB?hEF5tH;y`uK@FaXeo7%=);|YstC8IFOk$+{B}eVB8z%w%{xj1hWy5FDJl#P}SkDMM3B?s9K?-+TaE zKE*pp8CdVyRbyls@}0L^EO2mHJXY1q>FCy-`u4h`_3L4jX6NOJDKL5C>Qchb%n6J# zIurpEZVIdg`nq_f44SdMTBX?cuzImK!KE&?aC7RxVZo2;6BxQX2?$CV=XPvf9=qL= zepVKzz7=mB6wUoQyAZ{q#r+>i*;q#wtRnslMvVAIW1zYuM`CaM&Q?|LeP;5W)3$*= zZ|6Jj!`h@O+Gt7_!T zYig6#RFg?3Xam6B9mEgq<*^P}Bh7Tv0p)FWqIlY8jMSr9 z8Quexv)Z#+K*!Ig*=YUN^FjHKF}xIA`f2Gp_lK$riT0fz?)4PC%dqMpUBOU_3kffqkpEg=A@!K>U6y{+)TNN zbMLVJ&>J7w=FJ>LMW0=iYYp)HlOpXI#Hbj40t^I!E5-A_9}8_j)2s1Zw=)I^oK?Bqv(*5FBY8&j1D@$mfFW-GTr z_2;v_2+(v{--GNc5~}cfV@|L~ig^>O?C?M&MlG&=kxX5fV~c2$TQbG8&DCyvI3Aty z`^%Xbtc=neyLx_U_Fa}7P-&79e>JyynmT$5{BS?xWo0s-(1!E-s=UGJ!SWJ!-jG>e zGYj#WC~+ot<)dT#af==`n$qi^Y@YP2e#)K$TK)}74<3e#SB`ylb(WN@4!5gd0~T&! z>A?u~h{~)bSQW}wVf6nCG^6{G=Dr=Ol%=Qiwmn^q&1gfPfUXS}B5DnRERPNtW{XJP zeyAhGudzf(9jPHRyZ5dV)Hh%2l&IsrrTj8lKoNt?6t!YY{B5t3x7|bWFPUkR(}E{G zC5M?womH~B3~BKM2+bZ=82X!`-oJ=*Bs~!K;amL<%iZS?wfvPx6}Bkwz|`ICUn!Dt zXK`?{vv^=jfI|ygnYR_LacM7NF?J@Uo}U4NAW}^;1lp0CaiY%xe=>N~r^fh7wh$h9 zwOP*)bs8N&d-_jfmUSNKJUM8t2<3YP%HY>9A1A zj|o@N$_svGxjw(3czu<)IN_!9*~7s)Z&cMX3y)Wml}FX5nX_?)7@as}o?1i;B=Jq6 zFhD2!xBSdvGhbXqC+?5(#8grC=!OfrP0EaB`Igxi$fIAKAfMy?y`GdFdVlXYH?+!l zol4vQ>t?eTAibtmVNzCu)#&VRJyh-n?Lx6 z(cX6EwzJXld&%4u#=WI^K*Tx-l6$&q74p>sgL~#rx%qQ|YNboti(AUAkfWsW{+^#S zx|#VB&R>4^_hd;|-b<6OJbT4JF`h~y^GFyh`E`(acs2seUp~9%tPbN>(XsJHE@rPnj?8-y>Q^hFPdW` zPffb>Rk_Vqsl5n02T}a0x~h1V0nb2itLO{D(*^>qtbrVRogRCFgHwmMf{M&sNW)wX zi`r<{ulY-xG(|+GtEY+lOzCdzR8ifjO;3Q>mmxaFIck4(l)0oHuy@agk?Z`9UD?cK z^U4`hzxBS(*iW%iGN`65p=g{Qo$xa_=bws_iUh6?%Q@-F)aWX+2HFJ8fbKo@wKoN` zPzJ%bEfSjcIpb-nyzbs62?0Vh8S*z)Loefd z@tcfz{Tyj{g|SML_Hk{ZrvxCJb0a<~S2~U;p8v6^_%^?DAy%?1!{|+UB#9DFu^kuk zqV%tk#elXk3GdO4$D$dPx<0CENT|g-z0S?Knx=(D`>sx5cV?l}%Qn(7ngqiJt!F_F zbWigH5IW8B`K^47!wGhv#B0bOlm-_S1KCC`gsKUYxf`CeBY!i$^Jrh9*8aVL06dujjsib;Y9hd9f zP}6@x`UKdwg;VO8VmoF3+0mckhUt)G8XGzgpmig`LCDQ5Ep&v87M4er`q1Z~(M*FO zeX6^DBTCC+su#f_iifd671>PLFTZ8vQv&5)rX`yFe7DD5=8{76w(&Sv0Rf{qip4uA zW7>F6Wj!lRWbx6*9ABa0gN>RvsuC!KxM}bB$4%VU3iwTWPY!pKu4?O?eH+T2t>P!Q ztHY@dT-`M_4B2_j^xpPKYc?@+yW@(zFh{IN^A{)=o*r9%Q4RviC(kd$u(~T3Tn$Mv z481kxSa3;NFR<=kykszt{i1s9MY5Bh5lu{b!*zlx>T9l}_@4og{sX$1vMdX?6i4}r zz(Z8E*DR5rN(p_`_pS2UynW&L5#cjBkc)(Y=ql_Sd6ZJLhDbOp)Z{$m<~UO3FXuJw zmnez11G1H|Yox_P!&8-R3+@GzBzP8o`i=H(`}0cUR&@OQoDBak9=FopP?DWxz^lZi z@-4NGuAt#JDxBR+7~qBJY}-iM0)yl{es1=RHSwWz-)>sBdd9m~LMr_^sKu^UhJ$Mpb?$92kClgMAK!!V3jCXhu1cVOJ=<#(G2wUsP#el zI;D{R^NOI3p|Jk<)2zI*Iq|;7bCJ(sq)72J*uCLmwu8i9OS~NvpC(sF#k$J9uGgb`kdfTrusId?R?=)pYwuy1xjoraQX;;Zox&9U+NrEWsd$S zGrV%-_O7ZTFTKRXByP;}#}QrCel20#JpwMR-M~Y;Q??#M9a;Tfji@ch;XKdCd7(hb zueNyhK*>4}c(R4@YYIs;S*2QBx+1}O>Yi6Rz)1x|3VWf?SyaZPvD$qrFUD+0X)S)t z<&jao|KgxNrkF_DG46yJl?GVxG97(X+H{)~a;|>FtSBD)Pz}Y?O&m{{U)Et-FgwQW zje{p}IiGlJC~eBlcp-sxrMjH2e>u+SK%qXWjf~KCmR(nuFW28K_%`V3LVdJZSXL@i zctO3|l9w{Uh!3M@UzR0v6f(CjDLkJ~ag4s`?yyBm_n=?fBsI}a<=1?5*b47v&&W=| zrXbuP@$EFa21YF7TK#RRm-Tsu7+g_SdPO&bkRzaB{seqh9clwmTpgZM3#cu;A`4gAeW!B)GdkPAi_{o_k-B z<>^54bpIjU)!#kkdu(Mlozv_}kU*NH`dLexTEtaQ2+DJ~&n#POsF5_|QUs~0TJ-bB zGnS7o1~ZQ!nTL=9j;=a^<5W$J-7*%oq~b-2_0gECG)F)FgBR5d!xcqrU8C_5-ry9W ze{*tG6|F5N1vxK4pA@$DP27_XZMy3}1V2WK)Em@sDsm|@;)itX=kpGFIm|n@HomiD z9$Hisqsg&E27ay?cYP6EcF+$8O7Ulk$a7R=Woihlu^1(s92>m1qk@SB9p(8<)>D#{ z1i5MP8k}b9j0L~JtXMeQ2h=A7=)*OA36{=xOcftBT}}3S_3ME7@Hl=knl1o&!jJdy z_MFr80jwf1?Z{6`2nu1oA4Z#j%^htnVMi@lrSPa4(W$6~L;X>eKR#dKOoB1;Y`um= zt7bW+4g@1oBST4UPw?J`xMPl*(<3wG8ZJ_)7M?f==nagafn7k*SI@B388^?So%`{h z`yf^v^Q>T5aczA%VG>ae+%9*YAglX^Q?iY4L{$Q5rQ`DJr05iLX%RMbQW@RBP(UsV zoawJ`%)K8^ND-1;kz&y0@Hkudw{yN!O8}cWI+s$1rok*^)3#4F$l0gyXqiSiXUQS> z;%)D5IbjLI?^Rfi0SR4K=rFIF0>9rvW@M5sdXha>=Pz$n$%z|?zB-rlb&JiD^Qc+@ z4pg?rgEMIT7FcdMBhK&XNc2~{@l^3#a~nt&jT}wG`Eq^6b_i;{FAS-ryt1kT_MfM0 z&pH;bFZ%2xU`OxlI0q_ z-LJy_!Mfp|-ZShBQDq}(%bG|AQKkpy-9_&DjcPglbf1JTj*>Q0eH`Ew#gL#1X9l>L z+X$61L^K2>)1rM@-KNTVSTNG{cDia~4Qe?cBou7X#j2gHtad{NVyIyK4Tv;chY-W} zf(#0LQn&d;hO4U@OH`ZAdy*UUvkL;LvGiUD<{D{h4rE&CF*`5=N@4nCQk`65_3>|P ze)iRTOcL3qSa~?Ta6iWv77s8SHJAe(OiseSdywgNN~oe}#2c-O0KLb=F2St?{^X;f zq0Cp`rhM?|V8LyIi>iZv;$GntmEfhRh}P@wizWSye-u0Uo*x~ofO*_Ag7vgUy{THc zzp<=_;m)e*b03c?Tr&T3QX_^IH2CbqG=iD)V4zq0_f5ze&C$2nL9kC9K8nL_cjone zqbTy}%5&=7sBWl)H&VlHNK%S&&q0fedfv7;&(D7waR(_H<1HAzSX3cTrk~T*iF)12 zKn_g1amD{~w!TJP;h&{QmRCAGP{M?{E}GnPasOg;JT%?NI6dSwx-)%dGHs}#o#nhK zIo{A_yXtg3&I^;z3g_(Z#|aW0?R=W{ZvDSfHzU}aADs*=>jE@~!}AXbV-w#^9FPX*$~V&LK$5BA%J{p*Qsp#z>}ywgVuP`6sB#c$#0=d|%;7%QYE zK27;*{56OEl~opa*7wTap0U1qO-kl4#uSeQohUZMd}_5fM27He20Edx-Ja+9jVdk7 zK*}^o-Bzey^Z(|vx0svWskuFd1OLb=h~3G+TvH~0-yC+IgQwz~!+%7W`%Hy*aZCR8 zG}l#J4;z0ox4by2VM4nsOeRgMLU#N0Uw7VTA2CE}MWrG}(@2%*FXY=lBiDC@V}RLb znyKvqe_@hFLu!$&#?t_Xuy0oXvR<)g_}R5{3$QP%nGb84#Dmvn$3rIWa#Zy!{BrMu zr)$KAYy6^0#ahrxXHJYon|9sDU2B0Cu!~DP7YO>;IMzH8@EFWudSls(AW9Zi>-9RhI<|;^rpH98o#$V{(L5gdIfB{U1{9 zh(p$;g|^LPPq-9X(+b_ZdL0RokHzrW;Ms1$U7%FgDv35tE>s1hQFa4D`gF^E`)pV6 zu0c}LW9HdodIy|8tXKedyv;Kq(K-xToq*U}95fCueAq~2QT zwe1&_v)O^&5{O2-#(=M*5!~JO9~ju5BUT0Cgj!T*Uj7R%oM0TkhQUW9!`Ktw{CS5i zB7^%ZewF26q_Lvn^J}b2dwbHeIi8gnbUm7{M`MV(JQ+pfn?=FMd^<$bV0Y_&CIn6miifc zIC;7QrrDs_pWLs~vB^=1OPi_-=7jC*k7=&#M2DXV+Ke@&yt`^-pbFj(;%Ljh0=g|8 zh&vj~TW0JZ`sQk%FO7WtIwI{_8^vF~G_hNjs5BnNffE9}- zd!CpEs|(Lx=~M@a9hMZS%7kh^q!+~Xj-YZ{6%WevDwpSm+_oc_fHl&z-#@(-9->-g z^!5ohu>yO1SQeV%Dp1CAI4pEgtBHY$BuFYgYNK^s#1YLRPQyQdK@;|JVge1)t$_g17C&toj)v6u>(db<;O|vjIvA; z)xQeW-Hgcu@TU%1s>?x*Ru&!f4{nyHj&&zK3#I)2n_KA&8h7e+2K0>2S)V$pacyGG ztqC&4j(lFCrbS3tO(d~qVr{TL#7R-R2%>O0_PQ-5#cDq+l2^#MSVFJKNYL zJXqhlSvU(mVVP!SOU^W(Bu(^{=BlCr6~%cO1QqFW9y8hsIPH3RoqFZ2hLz-U4lNW1 zZK=#6wezN$<#eKCei&v<1VFHS+!Pg5?m&{g1NiE;<5{D5&C~d32f3uE0E-nyqMI^? zsZa7+A>3*P$`z&oON!5(ko1aLT$1NV=c*7y{8D2S+lb!D+ zI741mWHa}ae3o@(aSCoQAdb?fKydG9dl~X*1=qFO)H0`wm`{B(xbBz{!npyrWwzsf z4C^S5Hs~O^e!tV2_v?~jJC%8gsbqH|p*bwk$}($fZt)-kaH^|?1f|s@BK3f%m8mjz zuv_qNlDxcAAK@Qnq3?ERTJZHZo|&)BFa&5%It5kV%61<+=>POFR;+7hICO1hj8~MK z9&#ma2`(aOyC?v;=#6|e5VxS5mRdFtC$k)!Uk0Xh)w@YXZv$94vz$SRDz%VWo?ytE zpQ2oggB3W*up4$H zW<@=9Y+Avut}8_7dLJObR{>Kp3HCb}9K>E z?A83i`g$`}{z|)f+1gaXLiOeEAy~;X8L1LNPMQK)uI3@0>+NmtkYn3BD-Oa^3b|Qx z*R)9jQXEh$!Z#I}Nm4aFI6HsoH5+(#53`d}l*`js)HNsbVl6KW%&NyamRCd(@EK67 zR3M}e28%o-J$D_4pX*+3Yx6kw%9;HkZO|Cvn$}s6(?X57U}gv>U~tyZl6}vU|iSx}!*_=qNg-Agbm|-T&rPC-jPfeuKymmq9^__&j(u6S7cqUrV za%AV zJNk&F7&l_Q719OdjCuP8y?0s9-p;q-xAPx5l*;MHL7bB89ywajpS zi3&mh_BzQ;C%J43RbBu|bWikr6DEZF-l-pOlSlY7jaQ_{ZrEjPG}A_nIGHExolA1^ zvMWVG0{YVF+YdE{|wL2mcT+ z5i>8SLYA{22To=5m~|_^wKB)csWNBH zyozk>)=X6Y52IkB{@vBt_}WFQJG!~zMkRLs_v?hIC1D+dckdJcn|cXE)8(~1UwPh~ zycuQjMwgR8zmf(G2ncdaz&F_2#O=nnMurN@ir;Hn&c=*L$22u(9H+d$tKW?oe|U;Z z_z({i|I5ZH`{qBSA^8ugfB190{f9Ib)-?63JMj6A@QRy`U8y%YwR!VaBIsMeIgQ)y zlJ$9;r6w9V!TxeCnlt(r{t6dU12^PODWy~#&685|z znASu&?Jd{1#PLeTx)X*UJSAhJfo^Map=X(@vnrX$_m*ds-!>k$NG(8k>Vr$c2TXcP z%BI{v!fBy$j#Aa_0aFX~)(<98=tr`nAvu|jtt#nsvgOW#vmcpH+ImGPa?V+bCMMfv zQCo@Az1xHp1<~5r1b%dwisE{?E2;cxU0RQ?oMf$2doojV@mH$+3NuOcprq2&{7~a= zkBmeH-Bfl;zbjprAq@!UWDmRG%A&GG98@jPp+BoM5Ph8rK|c3v#Dj3{C@%A;T_5u<}?~?aiLR>twEWfA-PH=H&E6Q;* zn7cbh$7B~2zSk@~ILjGnveAf3KvHLDK?i|#@4S|rd zd4|U)RM(wYVub_5PV2Ei&R{7PU<(vClY8fO>-+?1mQFvrcb@QWc)k*bod=LWqfm`QvHdc|P zz=O5z!Y<*l&qmc!K3m5@zZ^ZJd0}N?PAgfPm#?9>Oi&pqIA(5XblcmfeZkWXa=BxMxhkw{9wiICJE)E-PU`{_tO{L+&X1d%3YRf&cL9AQXne$zMOF( zYP5hpLjJ%Pk?*wo_APpzg@diTMm_h?Mcq=*NVHC^@4kPoWw=8io`L+#7bs0@17(sX zjU>5!v_b7*mRx1dPT0FUN&RvU%K^?VCHpJ1ip{`zQ@iC%Sz-L2Qhq)Yw z(^i@3BEqJBI{r)aLERMS2E?^LZHu!=T9sa|;>7kvi`nWx01YO-aOd-euA?##I5HH+ zw@2esCESMb>I^Y5t{Ap70>>TJ=N_m8+3h}I5CxqiaxKDqby4(~ZZOh6a1oJJz!a#q zUW#bz2Trv$ao~OJg)7Ta&B)1L0OCh5gAvU@bd}2$}jce|1X1}ydb@Po^7AOy0 zNK-^qJ%JctoXcYY)Jm#ih{1X6anorC%|v$%pRkYekhjC5h$%d)qTVb3qB6h%)}t!| zU{O&P``hdHI&QR!4H3|iU@#9Lp+fMS#timlsqdT=v5W^uq-5lVGYlpfD$0wC>)`j` z2@r(=RWN|2+5qELpQSQI+{5%fJyp-sGS6#9TVG?nT*LsNW@2U*!tU@@K+R-{CEZV- zD**u&Cip2471;M~;`H>3gg83Lpp6iVeS>P8+^Ag!Jpfd`h*$6CJ?=agxjJOWoH?!& zRec7?bGFYLP?lI$$XGX8CTvb7%yT7cyYg{#5n|tCiIJ720;hT-laxAppui)EoPn?fFu9f4hwxP0+u!{33rtee*aM??#c{z|` z{lu=<8?XIEa?2M6v2{@i)Ll&d)WuUM zMgt1XIYrLgaAVqO^uB7A<=>atfbxGB{felKVN_Lwt;EtJHWCnHclPxQ7+ z-$-|jo8=%r=pwZia3=*gz6F-WCLqh*U!uDGBaHUe>{7_ZBsEHF#piNs@{q`sd zL^e+QoP;;%XgLs!XKDScMboAjHf+UlGU@xdcKZs$DBGf)xNJfQ{xUK+%b2hNlM@z_ zD%THUmcaxkPE*iJO$QYktRefQ+w6X_WWzoH1>ZE@lAjbCiO$|XZ#1da1T}jwR7{9{ z5liK$gvXS{n(>!cnzWTwwUOdZ3s41ut)nT)Q+C$!t*e8G<9@NICCwx)FSq?!aU>EP zGrj!|cpYuq2B*t0*eA;Z=qe5uxdBiqQ{!xdNtz|^xn8v5bjHF$bDshw$e+*SS|>yI z9=6nV4d8nEDYyXDlNRQT8AeU%WLldBDH@f}Cw&by7YEN6Lua}cF)-)sT_6bwYok0xOA>Cd#{C;%aVnw_%VOMeA(161+ z*^~M-)asb7T7{G_rM|ycY~N$GQ-TH&_0aLjPUW$+f!iG?gjvbt75h#Q;%Z4II?=Nl z4GTW=3}-)dw`pLO4Tly7KyNN^LMFzBX$U6V!3tAk^DDN(v->t#`@>#%(=P2< zFOFu^Z;ARHk9U8K73YFyaa847hQ!yNd5Z4B_s}LfmmIFgom*63+}|IUT&ydVUcF=T zOIRCmF)vDDu5m5mKKV1L0+ADKfMY&pkK~9xli#%!%`_vig?;(kobh^wq9+u{GLa+N zb~*h+yG>q4c?15+cRknm@SEEW^=_E`q)$-so(zd$r%kLR)k4NADNg*qT;w^6R?EHO znz;eWmIbZp^1S%!bhgc6$5aIZi4T?(o3BKSov$6_Kcv0Xq1;N`XIgJT2Y2AdR$rX< zs1lR^kdD9oVYkTG!AtAkqt~$2RvjE-DN!35--(_ACa9c4Q^uyJ%Sg*O4qB9U!BJuV ztnrIjw+lo!DcD+#@wLC)xzl-PzlC%#6-wj`+21dng}c==hx$uM-IIGv-;B(5in33Y zeX(fPAD(t_Mfa+yj{VCcH5%C`a4hhvrM9F408be=*SeI^Q(RQM`z3db2z|8M2L;Xh z`BK_Dna){9D18nw48*97i&rIcT0D%opm^M*oz%nc zH`kTB7xl^v=9Njyq$jxABAZd2e6DK&aO}U5D5r4go;~#8kVVKr< z8MMXskD30xSSa5lT<|_CRb}Dm#qr093Uf{n+Pz$Nw_@{%|GQxhkpaTn^=RE$QF^)CV`>oC!! zhNv0IoW1L+2CY}cXgbF$xJ`KmPK^r=t-;%2b8}0Es0x7@I;42w^CKBrn6@mY8N0)w zd?>S&$&rLR;w5cDbYUbYG(H=37K)ISBSSx7zdxCAz)35KP5^{ivS!3TnU@vpZ&U%z z=uYmYJ>v#f?&jCKaI!xnr})!Z#E^CHwLU?Op^Usd@osaf)PZ)AjHd}u@gc~8&K0_{ z7IZ*C4J;FsHsBaf=MrZ2$$}X5wTPGbrnHuqoj(9cci1D=Xu|}67e-86z=EaSHz&}X z#c^VycpjZK1mpZ6DuHmcye1L}-p$1A&lY&M#m?5Xp(~QY+zGkfFTqYUz4rs6tr=*t z3QYqDg$RaEp7Hy~V?3n!H-tv2FBQqFU6hU9jaS#^WnieA4YZG@MUVn^ zIH&S`A6GCii&7Ha_m(Vw6MUUi;Se&lKqWQdLngMAnKQ}AOU3RnE4`!o(t`3pPL9Z_f4{1UY|O^3x{U6kEeotoI-dA(g>I zHBdM>M`##aRY?1$3(BM6sY|-D86^!pVwgUmEu9UDDiIs?uNJrjX`~l<$uV0RfNvMm z9T&F~2M2$~@64?ZhttZ^>gzeXpx1baSftxD9zj&9ctov~&2lxvf-C79ySU%^dDRK@ zNCU8MXR!QxQf#zH2cPx5>n1*@F9R@A%Wd(|ZqP^V9EM3Ys9YE6mNz^(B$ z7$`Sj&YhClVy{meGp*hV$A_Ot5jhaU@%1wrM%%Zmb4=|+)S#@a&nusWj86V*brUapH4cw8jsJ5Y{kIXoSoS}%K0=DG(9ZJBWO~P z2*%vHkHU3ZwS6Y%sFO5R%8uSKI>nZhkwTeivX*{gy`QA3&cTF;UI@GrNOItysF75q z&`I*a0_Lu6c0%z3`6J>1M9$FxwXH3R*gbAw4@sdA8dRN-BxU~F`>6VK75_06+&;cj z^C~oRZNcm|-fx9PZwZSyp9-&$#z_xksKklCGQI567$~#Hzor1=ix%un-n0mCA1XTU z_CR#YQ~P#k-{D?)R=^93{q(WF8uC4tHK5Wg%T6)yT2~e3)b4s8EjDj zRPVIUaN0(TR8F*@7}1HO1l2g}e4<^EHk7E*n?YL9%wh~(+rBa%jN=c_PCVg3T#(kxYc?){*WR&Qu^vNVV~(ESO=m2U2rf|RD5wMz2& zdZxg^q9U=2ibggJodYXAGods~U~2!uCi#<(#vr(pQb3D2!LGKD1RRjg1P5a!{_3GS z;9Hd9Z$#p|fS@HeeY(r~liR17r?a9>G%1^=owd|?_%?TGA`2w6SaVYXlVoaZOAOvy zqR`n>l*p{x*byi$TA@*`KQ)v^Ix@dMI_dMe8y=Lou5|7iF-!jyWtwa#Ml0?U_=*0# z%CjBLs7k2WzTf(1Q+oP?rZ;F7wxVW$8+O(A4k}2M z>$}ZdYKmEzQdp?N>%XKP7?<8I9emdA2uw*AAsFAC_S*ISrEEBN+ilu(IVyEHfZx%5 z?8d)y^@P|8SL>qszij??`k_X5A42W#0uVDZw$XWpdG*oCx;E6Q!I@u`8&h zT4Sde(}T^K_~&&NTRRQm}_>YsfVE)+qYD6 zdTrh-{C>vQZ1dao#D5H1a)ZbCJ@&ze_BRXg`pkQ&Z@vWB|0oXJWuBD7{ChR4uyKuF zgIavN9(fm@x*u@AjZTMG?^>fJQO2g?e4zcGk-C(z`8?$;IE`S-V>7&)n|Kq??1gI+ zjWwJYwQP?<+(YHjf&2sBKPrnv{HLh>EgZK#wCPPXpy$_n)IYcXa@s+@55tW|? z?WQfUzXdzY#grb0eTc_geW)kA*%vAdh#I6+E6?!o;HAGs$-+H{S4k$9ks=W26V+G>#c z!9!_+{??VAI+gH5d3AJ_p6Ok^q_ovcPspA{a~APyn~86_s;Ao+sw15C4kg>VUAm?9 zUWD6L@qW$2(+4+hPg?rpX9W1QJXieY*u%V=vdHm4YJ&0$N8Jbf^8=bORgr(!6R*E2 zuM^Gb&gA9{H)AwA|7UKe5oxaJPAX$$X|~A%j-}iCiI2&+U(Mw!|CKkD^ZV_3Fx2g8 z0VW>uczoJS4J{142|g0>eUOQ1N?Ckg;nDZ`GTBlIl&v?dZBFAeV1-MJhRK+TXVihQ zfYcm6JJ{4?{msr}KN#w0D{fk$)%s^FT@6a4JP9`4qEu$H>W(6_&y~SjNOw;W(o)W0 z`wbpz7AJibIC-0A6=;^*RmlNlxHy`#bll|53v*^4s5txhione^QndnxFN(!w|E7qH zyuMRW`7DUQa%ckg^{*(10{feIsEIK7El|wcV~Yxweun*xs!>#2aff+ly6IwflEq6?OLwmWQ{24#ISF57-d!n6@JQCT*gn5ero>PirVPo( zRp)GEi9PP5=yVWQQco{Vj1!m$(g?^BE0&vbK%FIO9t;3P3*gxoS(snhb~Do;Ei5*O z6c%pmCV1F``5#K6zF8GoHNS50&~R@Oi%jOme$-gG30$N1fjW;FgGU!X-y{tQ;}c&2 zU&akftI8)c7e>2bpq})&Lb_MA0A5{gZmi=HRPOtI69Y*><(?W1(KX$ufoep?pr>LgL7 z&{FFo57sp(gY+oV8X&L48gJwl*V-e3saOcr2h4UQ7(O=xzGM3DnT`}|}$eMPpG zNEK{kU66K575Qcw*CTbEX_LH6JKkCR+%0kz$=P!2N(>=4mw6OLMWRs-IIBOqo?p6QsRMoauZ!H=MszhDSb~c{a%^J zPu!V`dE||t(om$I!Y@h~mB0q0&x@?h+QK%edjPEn0Xz#cTRoN(dx`vWH?4;|j_-*( zZ&-x5>KIYgDGVRxUyA_bD^;ezoS$mRXWk4`f4pl?lAdzylbREV*nI32jnaS|AcWs} zjkyk8(C1kPwO@vG7_zW}Enfnr?Vt<5h7Ec0p>}NChqtkmtS&orBJm?b273BY^kq$- zWp$Hd;|YX_m}xgFQ!)}hgX3}EsV6Y&Pe7;3Q$+txeaLNtB=d{M(SxUPQi{)e(kZ0QyZZLwgw-Y&j>4YcEPm^wA~&8dicZ`vwe zM4=g92pVzD3}xjUPB+6XEN zL}!)hR}h#$#gGZ7U8X=%x*JeVFEfKhPQpS#Fg^d%w8++$#CsQ8bLV)sos`bDE5GII z%a_L#OP!@Q{*tAIi7B1*nnD6qJ|_mgs?;v}hiurSsH&t=PN#rM#MDZwF+8IY1-170bYM zSg?IkRT6deFOd;Vu2Ds%Ty1XI1qaVK0605ENja@`I>UwX~JZ^ z$8AV=$L<}-E2s)vZno$Lm%>v@!p_BQqxh*p2Vx;rIbGaSRr%~ooOWQ@c_khSYR@F6 zt%l;HPE(hif8y}6 zz0}!WBG2LsH38*}!~^$A(`1?v@J7>6PX#5Y>`=8kG4P1NKxs1&>CkrQbs3dcu$?AH zbFSCT>>`WOFvCiu4?c)SI;f+C<`kd+Gv(kmeD9zt=j_mXy8;TG@vOsaY(z32x{^av zH6mlS<-h&XN`+C?Sx=Q2D`q!SU0!DIr{K+q9Ha%Ya%8<84dg_6JMz8n<6Z9HXsj4Q zWMWxJaTZier`sQ_ym9wzJHo19(oZli`+PHY{u)+Wkr;Ftc?Fl;hnb@uPFeO;NqR}3 z>KE*|U75ePpwd?@_9=Q-Z)!f>_>2Fu9rrj3tg1CP2LJ4M@YUViHg76@P`!=v z51-ooHgR2|n!$=`a^ri>;u6{=^03xF2KPrq)NYO)pT}G%gniYutABqXV%P6Q%YAV} z{AaWewH4zxx?(>iiWqa9V^{b1rtNIL|4k+E|KGC)_9L{{xdwXCHY<#^%Zq}8KJw=p z4O!jj3lg5rl0Vs6+e?AS=>h`NuFRTyp_!4D%mWVHW74oel;hnwysf(*XVL#59bPy} zOYFcyY(zeE*&T|z9QTC&;3rlhUv4FN5+9xL{ID%5Oo{IMZCQ{n8<=k_Tb6hI#p$#S zn)N!Pxf&Jvt&a6@MxiMbR0R7EDWU09e%$?uR^o#e1?oDY>c!AM*~1dg7iwhR0wpvY zm~)XP*L%}>+W7X^4q-ECS*R^7mt%CftahBuSrhbrvxM$xjoJ-`^mv(nIkQ8lt6w&o zI&lq2PDcG{E5tgl=fi|H=3N(=D~5f=>`u$ZfdU+b0qLb(YS8n!uXDbKVYrW+C^4>g zgV{xr= z+#@On9}y_Oe@4ZDEFo9Q7;s-sS7!huYwu{=?jfDNr;7f)_s8nJLfX=Cmmo-`WQhhm zol5mI_{2uGUwDh?)gZiC&v~7{5hpRpev@BO$LU;Clmds@KiVfR2{iWSFxRsm8CY`m z0lS6VbeC#4novFX4@I(De7B8(6eYc<9G zskU1hk3SuQaX}e|)_8{FklCYgwgQchFXh%HIG+DzY9Rqokbk z13lN88@ev=e(df7NSBTxIw2~SHq@NY7LmyRuqCbHcy^j!~C@!uK=~k#u zmPbZS>&;3h*esw*U}=>-HO=U(Te5|l+J5HNj|LK^xcO>qM32($>rTTac(s9vmk72V z*WXDl>}Y(M5d7cx7X)%}af=s|W#gU69_L72YDLy>x9{8&AVL`oq(mq`*%$bUfm%N? zQ!3|?k6~il6LqVrA%V__H#GoW9M^KVy+}9P_q5uUHH(2OnZcy6xcaq(sn6u~zBIMs zdRo(EC!SGftY5x*bH4vO_9EBRhfz6k!DqG?8804g@D9YFSt-OVfFf%a2y;ntVuz|+u-f=CLDOa<`)lb0Kf@PbuX$ZH zoU#$5Z6(_bjr3$dT)1yL97OJKxGjT^8RMWTPOJht^s))$9uLivV_fo|8PprNr&2oc zJXBlObb>jmbz!6gk6|+7vRTBLy4SK&{bsiUwN2+LF;`I*Ku`PS$cz{g z&p?N!WJrY%y{#g<%%rp95WRPq$nYxNQ2}H}6g)+tDcE~fI!TM&YRwh;Q~B6C5X%$x z;*16(^b+ffhlh@BjsET;qBvfLO1uHxP`zD;&|$#5k_hLuOKzL~D&FAFx>lLc84-(Nu1ec|3s2c8I+kSwk*|P8eXUeoDxlP z<0r8dW4Y&+Q$-iIOYNK?@d5ODyFeSL-`@w)zPbEPs`$gcCDk8ZB|+Qf16cYyuqsjc zfi0QZow-l+o5pZ%=>sV#L$^}Vz|)t#68HMzIAIobT9m$kq0gpH%Ss(7s*$E8DJR1@ z*Ja!!==@&^e17vFfI64N!X?WE9z%y(C?=pX=AcbL@(bxu>f(f`&f%!%w{oqEIl}typgt z#47J-bB)rL!tq#zsYZe>taGZ7#r*yyXi#3;CLCAjBawQbdmkfBt5Rhb3|4pw@IH?f zyX#7!<*z$FM89C$p>_|`yx^y?Ky ztZgF(r+p@;%433kHGSqP{oA^(z}OuDCESk?Y*$gS3L{g(YAZPaVFsz%5NbNFTChp2 zgUv6SjJ^vSUpH|msW;TzbZiB!+{6L010hzFIIfPTyYdl!+T%<_`7Ohn)xrSJ;7s{L z660FE8}C*hx2;2Q{2~t+Lm9vE|N1+H|E_e*7Sh6nphChGHuFj7Zo(SOrC?FjQ>sLb zQXI7i%nBx2oo`X5mlph)jO}Zi)G?B*JdXV77#Ql(-pJecQnS&4!|MAjctsBP$gM&- zM9J!|>K=wWz)7S7ZMHy?r8cJ&x^Ph0ShP|;ll`OjuMj)cYY-=RqUK{Lr+2CSW0qZ} zDu=Z%1m_xwN3&RhQx=J?QROV)Kcq5z-LP=iJHNf4DzOphhPfQ<8|X(Ql{^S1_I2Z+ z=N0zTi{#mAf-=U{isly;Y&kTway9%>lzSHIZb6)IgEPT+cq;yUag8chvs$#8L)VX8 zA0lnpZ(PaajFDGe`2(|Lm&OpyT9lJJlkHRG$9MJGKvTZ8g(LzQP)wP`H7NA z^21&`I^8Azo3rA7?iHuYmog&7qME#hC3T0wh|SNYf8P(~6KkW$LzWK(T9Jo!bc}dK zu!Cb96`v|&>ZQ*Rhn_E{owS{T$vqNxsgoS>Iv0bKlO?G?(i|caM9BX>bTO@1*lLfz z&hu>56d$b?7N>OW)ifwg&XQ!xq8j)(!duVfP$PHeP&(8jcXtoGgq>RZ@uy*zQekom zktf%tXz%%0&m+_0PZ1@n*KDE0NgXYCJSB*F%n7lI%#)PvLU9u}zdVpbBU`vJs>SVz z7H_Y7=5V3Cc&sk}cFEghbDhp`HdS);Arsn*dx}SDyxhdnoK2?g5#nFLRAM290b`fz z@oHo=g+Hsz@FQEwtD_1l#IXU9rkek~E@F%L>g{tEQ#o}rGb9#8Qx7kuf-==ssQ@?^ z7e`JO%13sbm$c*j5SEv~1^eZ;T(tK&Ux4r+L)9*ojhRnhp5@W{|Hi#)Ir{;yFM_2Z zoSk|hAvqhACEor)YXlQ=Cm5Yic4s;K)N~Y~n)08dYhs3DKlBa;d`SP*mG$*&LG!ls z?n_BtYGGar&324n*d`#V8MK=!5QEPn{yu7l&)bVPKu=^XW%#V2b$jMnVVotSC1fd^ zd&1ON!+Wt!EOy-APzia`BhPFn6}L4>N_X8F`?7)J3f*wc7>~sX+3`5rQ7BpUO~#MO zs{67CT^6^EPwl%QKkEmz<~V~swkO3!F_lm3>{le!Am9&+-(QL+!~x^~cXD`Jjpn~A%}}$e#Wv9iiviF6k6R||iv8Q>#j9Ip zBlB4Eosk37;UIigAjakT9*=B(qQoTt*KxtPTi7H`?s^p4Z_5te!?+hPBl&LkY`pxd zkuorsR&L{od~Y%1ADKU0`kogPg*Lv2jexMF<~Ix3$38>Fyq@b5P9{}mA3u@yhbqzo zTrM+%T*>%1ctCzAWmfv%1KYVtkgXG|Xu3W^`DeDpMUa(YbI~KdHm>S=y`%Gu+aOz+ z4Yt%kNjfPw%QQ}y#OII3U6%Npo>wS;kXjE`Y{R@&%07jfKy**LL@eYoKMZ&5br%TC z$L+Rbb%;#It5-rqb}D1TV=)zZhXax`V}jrCO){|!+ETVHNyCF}T|bFxy^c+H^7UV7 zq^b-(wU7R+CE?pf{Sn2_lc?F}fAqb<4*Lty8dSeZvw^w=_(0GP&p7mIYD1}_7iIE; z0$5bK#@c^Ocr6DC*D_|e5Oi;T{ z&?mAI&1K#gW_mp+XtOs4lJlo(XsFy~7dc@Cf(_!GmtEz!NTT&K>`=1<-wx&Lja(_@ z!)&I@Dt{Em-^eRdbZ?9K4WQ_&4eqG~%6Yj*#RN^0G-DRUQ#fLXR|&mP(r1&!8~AKv zO3rK|ySH;Gs==$)%Y8NReM;O5*|VnTWEH1$Ebd*H*!EOC<2}6h4S^H-yjp*T#&P6z zEUoGIY@-HtSNt9zuj{|V)M!rDVEJjoYc=o<`xN7IK~hbUW0!cHH1)85oiPr=UcgIQ z@92646IB`?{v#zMDvr4XJ%f`W>s1$B15yzV#?L|qE>7Uww$N5(9ecSc^I`N%@+f8b zdd){$1TiTy0qp(ONsu&6e6(54oKMbm@gh-H%hl#XSed>)fQ%+8ScHkc&=_IFOcxww6%BP>osBM2vh ziz#@VLV^>cHGO1sM`hS<9yy(9m@RnYTZg*s`yPW4g*W+Q-=nz8z~UBUma$;}HU(e@ z%NXx!m>T3pp?S5h2s7p=GtRJUUriwcEs0=&QZoGuG2(Nxy6!|(X4-Z8=PV^uuAad6 zle+d)E=(PRsqU^eeI&95cW_WKsn8@%lk$}fwK~zqmE_P3j#WDWH;R{@!q~S#LYUfj zFcVo0KwLhIc`LAN9yzumH_lIV%$xBuZK*Z^I*~f9 z7^zQFx*fwPaAXTCpjr8)%M;%=<(I_groh1h z0#e;&t|N2(-e?os|HPt{bJYJf{oQs+F3ZdyKD8SUz~`w>u2A{Xop^(S0K<7**Wre) z9){%?-vN2+`8R36jNh%}Oo7ZYeCME8`;lN&C&`{K5sL8_weHag*;=A!6;n6k$B|P-|%rbR(RD#JTzj;Z2)Q)6=G!8 zi37HE=eo+e$JhB*s+GkULg;EuDUd?-6vu`YakdXOpMo#Xsc5f@EoBy#?#6YNq_(jS%e+aXD-=YO1zK+xvI=}gQ+yoLy* zKR+{FA&$fyEnL`^)?=+2)qNK#vgSID2+L)@Xg1tz(!Mz>9ch3#E(g1+d~BR0^Tg zu52uA>8XYuAL=4L~%#y*8LWtJ{@&imf!!Htb*xF5?`IV8fMM^ z=Tu7})GOfq*N#wr?|#(pYHU+Rku6emuk=Ia3qI!GG9Zj8%(Q3sI>B^L97FtCVrb$WT$p_ zqcpD?0MX|Ajw6Cgu_V3y+~LqVvicJ$iP>bdy2gbw;xUl4$(ad7BNaC2RaIKv;NH;I z?%7tio0{{iH_)#=GBv0i%Z_)Hej4Fg=UjUwW6K$VBtvj z-knm1?(DSxy*g!Y%FYvlXBTHoKzaK_RJ4nZ`adL;`{B`zxHzkZ=)FA&ley^(wT!3; zTeRn*|B!xMZ;qP&3LHWCp4+X7qc_Uh#KaXHp7;7T_)`|wXLz65Q7}4}r!MJC{ff;* zpyOdY3`aO$B0@v{8Wb*c5{r6>OtkEU5E-i2m+$_rG?vM~vXJ!Mea+Czj1;h7+7281*H(QmFVyST( zT5~?N?Hyc2M(|)R#8MiX)y>Jt*X=U9Lf1~~*q~=&w~lqs=!Xm*2#3(@kZYcS?*F6f zErZ(rzNlXc6nA$G?(W4UxCD1C?yd!j1PKt_JwUMHQl!P5;tnlZTw5s6{%-zv=H6G& z^CFX(^CI(2CUed{dw9S!*NsaKg>M9V`$TVHOX`1@Gjl;kAw)VA%_BsxnK zo9AThH9Jgh&s8!@rS0f$T7l`3y>Jq2?>%Qw*1=IGXF~v;s)d-WBEt*5wDQnY*=};0 zKzBq@TaNp@^!IU(wov-8YWn|>y3{q3y$t9jc>Y5Q6#mUeLpx$9%`$4LL#!`SHSKy( z`y6Lm8-daNvcE2DAZFP%v{9{YboeKZwd-rGKN}*Y`ScIpn~JQfwukhaupw5a z?RoSb_}_?z_N)Rm@(eg?Q^s1AFo>#r@ssLz7mx{toM&UrzK7a>1HY=_MxKYI?LomJ z9!3sO1^A5|tO8Q(-=~LX*Y1;imEi*B)8c`2F?UOD(XkV$JS<7hqekJC@zj8YGjuo> z3mDAn;tOQO|ej|XT^FK-bJva0}^N(;3J z4hz6}YQ5-R((7koj5nL;ttzVi2&Wi5ZL0Gh{OZS6Hs8)LitV!@@c`Z=|MpvEPpi$e z5++h2qY+M<1tw}BIU=3hS97BBu*T|HyEM6WB|R>Pqd_LMt{z%hgA`+PcE8dA()GJM@cVX~hJ}%XT*}VI_@~?TdDk%yJ_dXp z?y+anf1I4t?{+p8m8ghqhE{L0IeP~RdSrG()WFBPVxI|d;;gg`2xu8Id&|5cZchXa zGn^2*Xd{qGj2B7tq2xCX55IIy=74p!`IvMPgAzT*X65Bt)a7fAPu}mOU0I4;0hRN# zl_~z8Iz9zKvR5l$iseY{cesK;61&kHU^C;27E{Tgy}#5SHR6}P_+aKEb@y8?V&d+u zcPtF(wUMW_8bO|(NFyrBW$BF@Sl3nI9IPgz&wPUAzYMhYmH#NhxS*-*HG+55DEvM+ zDGC}wgFJ|E5`1P%mK84gZJ@t0HA)nY+@ktbGiQg4rT!`R$k6K~H!tO{xi284LC)rD zK5!IXfu80bmKRixkGPv2+3GO##H}v+Wroi?!Uqepz^MvnVP*M@NSSs&(jg(|xiSGX zwltQy7eM=}2jYmYt}J#_se))=k_MKFv8WlMM_y&L zEj$6LY`r~)AT>?Dv0U(U*Oy%V@uonU(detWL6OM;7A{5HQ|3s2cC1Mpg|o9*MJsku z$+B#nk<1$uj~1*kN4{K33L<+r8W{nL~2>p{fqed7&caBI=wiVmv$b*2jRy z-3bHiROA}`%@vgKAJVgTHrRi8G^{UvdpPp*k`6mOx_^4VjB1f$T!fkRegtd0g0!t+ zVJE*2jVmItN29}&%3|%qeK)xEZH)lxUQHcgD(Zn7m~u4Y$vtSAeXK-q5P>C)SqB zX=o`tpRXqnA}patIXg^Uw_(-+1#)YjM>Z7^y2H?~T{kwKUVaaX(cSRe}o}A(%IWTR#3d_*>7QKDqLEd5Sf9LSomk^PnVp zD0Ndj!lrsFSK|MhBK@D)FeL^UD?-%v!1c#B*fjYW! z+we9u$^?zNbsXTuU~<_(B>H4`3AR-)L}HuunI?Kh$6R#JOD_#(_~761khy5IG%8Iz zW}m|W5|pHaf4PvSqpP8j*<@?;V6$0VS-DXXq{E%zI;xFH!A@yBzLw_t4{4F7G5xZ} zs`O2y^=1>^g(8;wvgpdU)Toj5zsTGE??PJdRZwP8?)GJmY-tR#RZDMvMS`wKmKAK*!Q5~u;XjqpYY!glE* zr04HHQK$F@x&0A)t=>G)qWW1qXKSQ=oY4qm^CAim)B26#(OeTKlk_TmVIgunPc@u4 zU$YQa@Sbf?UDUSXLI!tW+TNj2BVSJ=*I1F}p+k?tDM^mfN|cOKRRIHFPf`i{U5ov~ z7jN9wL^E|d2|-li3aB>40G2%7p+j@8CeChafw#;(ojVx)U;jh0u(NP1aVR3)G;%|y zJ|WWcu5q@IV&PtT*99qQCD1>s_myg>vF%}avi})l%(vy(Ate*J(CwAD#C>Vqp-n%> z*;ZixBE(Z&WfsKyQK*-ota~XeIT%^eu5A-NR+av@;)n!^?mg!L%ym^ zY2d%dqv_1RgW+GVZ#R}d%~~3cg=6NAM3OT1>ZqjXeGT)ZVoCODl5(Y*;Bu*~kLyqr z zuWKs17*5<1`EEr!r_@z9wQ;9jNE{U(lHT$>cI0(flDAV_bUbisZ7zRLWtwBAnz451 z`yQ+~U5hOal~O50aXZNTTiOP7^_wHiKy2*m`eA>me)SuQ><+I~2&PR_zr+jGRL-^* zE#}z7*M`M^QYdkj-i*9s%-YG$R8N=MtvZkdB_t^BN+$p1tQC#>W>?O*WHKjQhD)uc zE}StYk?i8M!q_hj1ZBirt+ zRV09x>I-ffroN-@tv-_b8Zfs8K2*S@XN%{|t|-q<*I+==Xs{UvB*x}^2ZSDbfEFu* zimY>Fd~9}`_$)}gFa`5rV)IxN3L$}(aHdM1b(_yRERQNYPO3Lr!e?b29RUIPXD zEbC0quc<6rj~aitJ%J>N*1?t4mZo)AVgaA~Om^d#g^`CVXcbfHO4JR?Pb59Fd}Ae< z^uaun-a8>3s;K;S&o!}Yjp6T)XB#+N=~i!or3RVV(?M^2lsWF{78$K)J#W+Gx$$9h z{V|`E<|4-diQqe(MJvEw(PkLgbw6-LWMqSvM&2AN#JY{@IFi@o;e**g)OLl$A_nwBQQigG7ERkd zP^pm-gmv_hba#jP-m7%+39bA9tGu2*AeduW*-&p4fF^p})1RVymbD2Z`*TPw(KE{Q zQF^BT@I;yN$Pgg&i!rKgYD`>sL<&af1xQ_eF~`_-%@SVIzoS-zD}ia6+)XPJG`U>! zXuD?5HhcI^&7XX-e4dO)GnxZqS<;U2Dl$ zmMY9l@`Qc1)#C{K2;GGZQgwk$SLR2w9&yAX#zd(+xkBhk%#(F$@#WQ#*e&tc>`z|U zuRy%vFDXg|a9d%eGrsKt_KP#fe@J+$0*WwxBj)cZ;B%6t}M z-weFYYk(SR9Zi#FUGP2^M*FSg&UVSalQ2pJwOyiz3~*gJ&3d^$3m6pcyoPXy@QioZ zDJ<*1MbQ%Rx3m4Mu?wVHMBrP&rbkQ28G6KTs?ATx&co&I8h++~6u?Rx%dH8D@JYrG z21{hRBznw4rc&fkeB8yWL30ioZ<6+51?i_YBpURbGLT^<45|{nHQlS=ErRZo5!+fH z5ow1}>5rI|R_W@V{sv8Bl#(J{TSQgC8OoX|Z3aq}N{hJalN9|UZKv{~E;?5SvW$DS zVBfn3^k%z>vQZYz5&ln4M5H-oZz6E9U&R{(WW4(Iv@)uiEj=y&B%H{S+GWqhUw5k! zYiV-2lP0cp>4AMUS3vu%{0*`D2A|E;4g#NU*+cy0xX-yGRy->SwC}WBIL(z>A2k=L z3FbmPhfKf9Z0x5t(%7F+({;zVRJjoKH{vY1@K5|*q?{M!Z`FY-xt@pmoJc8m}V^9W~BOd64=R`s@xd-~f*_g354hh+A~9zBfn|85(e&-bQv z#54|Vh&Kjf$K#x0_R19Hv?**6yQRCM@s;jt{D(V{K?1EadCLzt&tjEbAsnUYe@B?{}xitd9k zLt7_uisbe6R37hI&a#|>xUa>v-e|TPQDi_U$Q2q>1KRLV#4X`Ut{d2dey4A_B|DLI z<)sguBZlo4h_5d@5@UvgQS1G0-H-<&es|2a{KD?^oUKD-gr22TRj@?xKNb!6y4w|@ z*hXD_V$ms=!9b^>9QgytU&D8L6|<)2tjy*S8k?+bfUAk2+uMcG_LfS${z|hsk$;Fs zW8rh=4N%Q?KSt!;Xw=ynTrT2B+}#f5tJ6y!MujOHHxbT~?#Hb(HNpAd`y{~=il zy3&?`wD)dB{q@NaOaio7pM(>XOJ`h4k%=LH+o-uyy;zGYL%BXB*V@}#l2?||d>z8CJ=13g#aR#j z=zTTc8pro&^}#G8Jtd|&powz-)o*IOg=TN&KAal8?cmLDThD3PYNoTV{w%dmHrp+h z;MZiI|I_d;wEij2-Hz?s$l2g?a2DI6C*F2_2K>|$WA0!^us7tV?nFFGzKcC7LP@ra z#vV8ji#V<%Yx^I^w5U~yM1*a$P^Ex4mVbRzcf^vg84v>zSt6Y;d`na{Z7B<Fl< z0L_6wC`C+8s%}ed{P}h?rU9o{ebY;k`_Jl>q4=Q+ND;Pcdo7Vbe{)4Xih;)A9$RW- z^6e%iL3D0Q_s?2CLo?LcW#8@`fTy9t_@46C&XE%W3&3l?3kOo$X|)gmMfCeH9tA(~ zX1w84Wxklv=ON%N$V-^H3LE>^UdDaPX5?_!^bZ7>z^E|I)<`Odu&(NlkD z&sJ*%ecI0EJyicRaguXj(Q9idLdYDXyJfZGX^R4-oNvg1iCFHDUFG7O0SQ7Jzo2lyX1#9T)>7HO?XsBKSefLfpJ zR0E^Qw|I44<|)e=`5Em+tjPMcm)JfH2n`!@PBWV`)`F z@+z84L4H(b`PRFp3_6z>Fw;v4Pf#1nfl6nFXI`JvpV&pEjv=**rg}#+)#4NtU=^#{ zf@8^DR7J~MKRkSmY2w>>Gr1Ky0t_E|LJt3ZYeH>5rOjB^(kCHUzN@rk zMr7@|2aYa!p8#hZ77u-Q%V&EtP~%u@X%!m;!^NMAd-q8EvzB{Ee7-b`76dG|&5W|; zh`&^U0mqB&v;p{oOd5|~o%{z9%}FOh zOi@w2?2;chbfBs(5r{c$ZC(sZR+h~o0b2h1w-cmZkgQ z)K5of-5w}_o1C7&(hgD#*Mw_i>Fmb^lB#C8c8C;IDJT?3V{g(n-4wW@!wfk~OHb`% zIW=?n<7XwI<8!pjLBmckVOVIXcn03j>?QXfl3=LJj$?8$nX3kS0&+z95*spHvvx57 z=F&-0vF>uhUv^JEV>Ht&Af#hT?K;8bp9_Zp9=Cv3hC;i7uX-xX+10KgPLH8=lg10d zr4~5|In1AR%ti87l>V^&H;l*U)p|MxvLR$+5+P7JyHs{74>)s1kOMtI8jW1-J+Z>I z-9ff)A~)}FCbP6R{V+qkMqi;T8v*yceu)3nkm*0h7y(~8tw#tp`;s0Zx<-Sa{x2==st$tTK`MOY7T(4rAAT~PhU#5InWH##8w-uzd`b!xz-B_AK}-^$$b zT8j!$omz#5dP_MfEg>n`ONZz4+;Fd`_#pS$pzh%hoi0Psw2+5~^TqWT7hYpAy^iANOmt*cUZptqwhz-+&z0@`kjoP4#Fo z3QR@xa+Amk95SQ3D2AsuF?M_udh?Nk2=91uqDn8y)$kL9>+iQLpz@<~;Ibv4Ge z=K2;jszey_&AWMppS`?tWS-)vR2}jCSpSg|+5_8O-}MAob4giE{)cq*ZtW~jg{fwa znH1@a^?H}}@Csk=$dRl|(>mCVpBrBF!2-UlZN;648B5ZT{LEJlae2gejpaEp|vR*BD+ldW5J~V@rzs7VSH~;hjQ| zuA{`!d(s<6cNeK)g;|n^oTDj`)VV5@vpl;-EG)+2xBD4 z{&syLiK`hWf(QKf8q`+zz^V4X0g*megSfq@aQ=X4fg3qgHh|^9L_Kvju_dFujyW$@ zo$R{!`OW9rvkH=h)d`7~ArwF+b*>Us1EAD4G{iJ4W3O99VuLv+-gSE*#`juTa8-Ar z(Zf!ZNM-6P@NFeE0pIS;fS3;Edy+fdVQcNLq?M)>PSQ30<`bPfaKB)OY24l_xBLbItKZb z$Mzga5X}c|1|N7H+62YLKh?<70zQB~tDJ@S5rhazG8|4Ay-wx!5vhFn(8Uq1qPR3+ zn?EXIk!#wXiBquoO?_u407s$@<(E#$;Q<{y%b-N{y;(miRY5wHgafN6?@3;Pm4|+3 z{=!-h#h`n>GcCJj)eT335=uiQC9sbvF6osN~c+hY7)wp`mEC@{^!j zW*=JO^fEswq2Ob&YIq7!9mn+*-2U26zZjh-U#yrAF)wJ`as9}+?TOUMR0XFjugKOs zs^oIy1}2%>>oVJ6V{#%moR*HE>X}FiBHP+Wm&uyC+#arf#|k4aea${i)ngqo+VyQH z;RXt1c~ok~Jro>F42z2@#Y@3IRv1@w_+=4!6Q_`zQC@rfWssISOf@6VKs#$;cVx3f z)wv8%81+7P9|+&t*R)OiK6ae7U41K+Qzo3uUq0hUSpmuIz3J*#e@rz|Z7OT-8=DT~ ze8tH~J1y!a@ZC za&gQk@JcQz zo%~v_a!0}n=3i_{qII<2DgQulrxaTWFst$aExoy=^_r&TNn}16yH;$}9+Qmw==sI0 z#E1hiQ%yxJ{uAE0MU-7Zkhe^E$`RM&Dl$Hu*2kOiGfq*S3vyqYZuNVUXv$W2adN(< zM!K&34Y#e)H#L7);@kbu5Z=*V+Rib45#32`WY?Gn1{Y|>>%@T+CVlr&MXW8X0)W7o zPsGNPxw8ebs-5gcdX))q;1?ma65gh7h{gpi4_}Q2evd#M>*Yxrs(}m4*qRv=<5?FT z6~%G|;(ND*4c}X3%n&*%mI((jVpSSQND{BVL3K@%(rc9X{g;Z8wlAbP(GuU3UC1!L zWC*jLs9-6>MEdl#=tJfwM8!X#668@NC7A`ZeQdAQmtv+BIE|K%0eRlj=Z>w%7Bp*f zmKzq7pv>0v5RH_}moU~n7&I=xnXlr1iiwU8g$=TUrd^Xuza5@-)299Qcxq1w(nVP3=3z7C#o z#QEUmRAdso_R(29`ata{pORIn|08_V1pC@8IZZJw!)j96@5L@goUQ1ylW@!Odfnd( zTiYV*u)+$N-p6tCJ$luM?BFy*-hg3!iz*#bcR+Zh$>Pg%w!!(@*f98KTWMv1tg1li?W8U1XE*1m)roY%8S1?;7%g=6WUMx#PS|CG zY{)Xor0ayme55U=g=+6|tp$X_2Xa%z@oIRA$xO~p8$jzpjXqvnX8-K=a%pd>vP~UY zk4S?|@BWx!k{~|mE#Auq-DZmjbVQoV*$eyr*#KRR+7@4f3zzSzMxjA_3YjPwivGi= zt@3f)-(S=JLz>)aGUC>2U2&!pRFI&EC>R{QVgQ zSL9-z_btvlng_1vhrr1AXh#Bht>Pond=^*Nnr2vN(AG^iL)w2xeDAu4eVc|~OqyU5 zOwE^p1*zg5CXOCJdANE2N7=?4bR-#@C=i`|m#&F{70TPm{DtuOUvU2*y^*fZJdU@O zc}~@VIPtQf7I%RXfy&@VtI-bH@$_*Irs<-F+_omneJecD#?s%(`M1DE!mP*TPtiG# z9J^8?k94tQgU+5r{~;BKDY`i!jLNI!6(Tom(%+> z3Ok^)F~aWZUjsSbyIUzlG7z1~PPjn9OQ(k_C_p`%kYb8pCT^ce8K*ZpNRj<>p@)r_ z*wlxBf}i1k(uQ!S!lY-ciWaN}Ae3FaCVxT|AT zbu%u?(|(7TR>p>%lia-mWeHhVN1J)z(Y5ZmB{@Y%XR!sn1f*hm6>nE`I7@E55L;}6 zbxM2zTsc@b@5onTlJ4I%S0q+|YT;V5gRYLTj6iCz;MtFNk4nasD!>xRRqG`xDYwN!1wq&nL!n`L@LYzV-=xl z1ZSZ3x(b2A0tVgXyNq4?JB!`*wp&gLrm7jIppsIaTEdlL6%&2pzd7X%IR0n;c`Vx< z4O*QoeGnZ^$$^XZPjOJTFtxt+H!A_tFc!2DBddK{#NVKWw-s7o7D3r=2TxUHbvff< zqWFS>);y6nj0$ zakX*OHixb^U#mlF<3Q|9W#=ELH8UjoZfl*Ev^#BuBfjmZ!nk`h4TV6)tII2uipK9g z7HI5ps1azn!2N5N^l&s$eF|OaK3G;~K$4C%Gqa*WoHL9eDX=ligv?c zg-QY?R`dlqP0h-ipp!%pbHPd8oL~qJ8Rt1(? ztXxxl@+&3PFdHwwi_E@DT8D;nz##@KtFV4B1cAPOYgAG~9AFe(mZwG1LO+|lg6gso zYqAS-St}%nI(tOsc7DZZpViFZ$-K`#5<@F#g3r+AeP!*@i52aQN3LKJ#ct25>*cuC zRUFSl)m_EcUZf^MA5Xz_b< zsmKN@j{#m5Vywep?WBlAv2lBB;`&mmjJ?{3k=yHPi9lurFA``4u1kRB z|3qP?p-s0>cKPs-ItUph>AA_+(5;40iTNk8n(XYOHLe{TzHQ}glyIeKhOB~`NA>)F z)RTTV1&8hKn}-OaB0U<9PkMV4>1779S8W2||B!+>RfF?~AezM!zvf0pZh^bZM+57% zHTJa&P{!KA9wt@)2#*^F7Dhgf_B!mHBKN;4>=p(dq|(rNlGkT{k`Cfc6Hgp&%YTCG zD@{Sj&PQ4?uYUy?*9|n_MI_9FMCRFmXfI(o$X~@>)@*Kt*Ur(fug2VNdMZWv@XymE zZso%hAxSu(=c>&L4)lmG*Tt_t*nRybb3M`pK%&$^t6@*fcuQOcqgC;)1u?G=2X=@-U^pm}50NL{T;)wUZ>* zYJQ~hS?^O;ersfDkb_DjN0_m%ol3>gA|StbqmDn4{+c^38d#U5mFN9lP}w?c@`!(i zY>NUC+nR&)lYK{fYcJe^xEXEmR0jV@gTLL1!Zsy<^jpc)tEZsFii=aBraviI0+%)2 z};wKdMSVR?CmOCN@9YvQv8Zo!r zLXg!CWh&$Y3Bf=YRKhzc!)>7&0o`7-lx{l{_i%|9!IR%yrxy{DG|I_3SSP}-%IUf% zSydG0Wx$+qST$xx zWoKnalj>5l3ooXpz(u8~nIqj}7W=G=JLXe*jM}H|9qcrOx+cE6jWr>qo0xwZpeu8h zkt68t-`_-m&#&;8=Lduq<`wv++PC`?iCdk);IpL_$dX!BQ2>YK&Qq-WhdHD^Q{1!1 zJZIudJ;B?)M2Z0)dJM-`dkrnicM--=qr=VPnwdHPCV+hyA>lIQX-;6mS&C~?d;r!jxOq@8Nf5&5{&n=%S?`DQZyP~Wp3=92hhf%6Dahk8r`Q+F~`S=bYpZ4kSniPc8>PGbD5@#qemjZDxde|Co2ZK?P zKP%0*VYMIN&66k8eq>TNeQP&0^E@8#K@4pq-Q#=EPXRL!`(m~8y!#ySqZPyC(;UVJ z^(U(Mvgj^R<^b6MH|!@?iDBBKOZ=h1doRb3QE8W^8eYA;r8x6qJ&RBDAikxs_3zvA z8Z6=8-Ty=SXR^QDEM*r0`h`7D{-@mYBKc8&m=?AEg$I6We>C++b01z@sFkOp$b~%g zMG(cWr;Xa$CM1Ctdx-MfDIZO~v<)pY-S@0w>~Q*RR-}(`RL1Cp&ebk$56Gz(KknWv zw5Sv7lt)C^xq)^fK%lG#8R$W^TVim>_=hjtfv1WIn6KVQg_dLnmKs*00Vn2!@jOsC zT(Lz<9hd~V}&wB_jzZ+{(S&)ioOEBxzNl)o>Rs#^jnBUy!HQ zkdd~_6aOSMv50kfP@s#@2upnwD)B|lfnB%PaUzgYdw2CUj`VQ`;gt?WrDi{rbH9l| z?#(k3zx}x13+*5FG0xbCxxe8D!0RQ`Ir9L=8n?P0P1PStB*-IVWi`zw^{ky!x!><5 zCyvNXlVn=bsV!3)Xw1X)H3c;X@%Y24usxD>2#j=(Kn5UVLupJZ3PAm}DhIZ+B~*1y zqEAriK%w!$>Sd2$+<=y*XjAzp=eo))#8Ovz_Gvotu*C?Bp?>HIpM}j#pcC z?L2B1%mvrP2voRnOV&6EFLI@NtqL50r-jUf+;T6<`m@KmlR8Uca&Q*?lln$J%?q}v zT#SVEr8WKn$&RYgth2LRA0)*B7G_xGIO^H1dCTlFi%!g%4{B|%3Dsor=fZD+$|T+j zg7U18pD}9LNyT4aS*=QD#*C{a3J%`xp4zM`OH*c4QL%a=lX3DYrVe}kB;*lrJKI~D z$eX<;4$|j&-d#g&*C28IW$vtuc3xFM5i#&K&q=D9WdNR;SU$J}jBK_qtFYX{gw>U!{T;GY~C(83C*nl4TWe|CAgfbc|y~KC$#4om-U|Eb1Sf zMjNE8(9`GgC`kCs4D*D_3h?Akr)Xy;jtz9IP5S$2y-QuB0TJL-dqs(5+CT1xCA(y1Qr=ikcrsFEBC zjpmFo2T>is;#8U*5zo%fJGRJOcMCuXqkb6iX&s@X0^yR-9>Ee_c{5&>@M&fBZJ?kh zXw(upI+0P`xjnvm(I}g~3c9HHq5WK14_!e(`@%%=v1et(Ek=%LLLpY8GPf)d#aE&c zQgn&82%*pl_AI^8&o})oRPaSOE5R5nbs~oy4ZI&0aHjO69U^?l-Y2N?9}$tsCe~+9+`&kOU)=C`1}$>FRtlA(C${z=Sw#2?Vq)cVNj|d88(84;n4IiX+j^heh>bCiV?ogK zQ2nqS7fidR=M(MO*6+Hxj+)(zq=Th88ioM9OHPmVkZBvLzenpx+9zHN(%QcyNNpI9 zfPnSC^0!@k)XbX)@nqqd+y|V_a)mrufQEX%DdzI7O1c5IrURqG39e*L0_8+nS($!W ztKeV$_@sZWQDR97dMZJ;9s^mNbD5LjtPJ~aOZRE+&kb|vxaLnTg_ypdVNIMmvrfAT zVNIG?seiy`P@9joEIF8X!lvPjw_1@BtB7sK(T*W}!NnP!-2f0K)mhT3gsUq1pP?;`o;=;Cq1#ai4k`0*v$*+ocOmEgI^z=E zdu^8*8&SbgH~Kn#+22LxY<3w4N;@@w1I^i?e6BlS`wXPHm>0D}xx`Kf6J>j)SPdTV zeiz&)F`Dn>!ShEzo2bnCIPRqtzqidMxmsL9OqlYQh^DV#hr5}h$rVgBHiAv=Smq#z ztebY{dD~NZz`5Ky(5T}dO&!6vJEs5Lb^_Gbzz3c$klb-u#zIaJlKK$9)S1$)i4Z1{ z*q+UFt+3;7)~yszE7Im9SRCV9$10E>x67WDSu+n9;X!td3irHx5<5-4mDb(-rZXe|#US{3GS^yZ$rZSO7h89!!zJuZbfHv_Q~XC#;BJ6J2xqqTyFG~^nptP>;u6dH zB+oXU67{32ZARD?Pi<`2zB|I&$@w^4y}U=nR!2~n=Wl@#2U#8{oq|`YS{{01NF{*old(=Jj@-!b2=nIDTe*B*wMACD78xy(H+^zy@8g*Cyyc$! zr>II4Wa%e69!H-9zIW`iHgdG_N4&P_zwLm39_st@$3MjhEFWK`f|?Yo^Lgqb{S>U# zLlm^r7r6OU^j6t*l1gBW^{N(i3i_E~q`{1187t3gH&Et2vb$or%^(gQkW)fn2Q_ZL ztsX$_HGME>_WsHip#8o0R%E8*7W16uvjm1?_D48W;;6sGlE2OjQPCxCO?rzBNZ)x@ zz<88=6=z`bddT4>71pUV??VnOIV$p{hJqh?zGLpLLzh%1Rwxjbs<1O$SjsqUk;gU+ z!L)pOwdULJWa-eWRhnUzXY7Wec|J3jLXrRl3(5a49P&C$02KB?ZM31n2wRwB3l{c) zxW3{3yAT>;=|M%G{>M&X!p~q^m04~j=i)u^`7`3T)!orpS7{CWdw)EfD2oYfeyD?@f$WPT!f1`N%* zkV(+*Gc{zofYoQIs}B@C?CB;yyn3YLOOF+iekBHJMq-|4R+cohe(H#i|#&MiK zl5EOBUo0(!oQo>2$@&09l zsjtW6vJVb@KEW0NBRl~A{$r=N@eQ-Lgwgm9U5i7ICqVi!F08YC@qwAxM$(is|)xvNfTO zGz`>ibU4pbLS|?0p&fDq?~8`nu$V6O#x{N+8%bv~P301=Zm1=5L>=Z6nCF=^nO+vD zfrxH5&-(gY_!jHXvVE<~gQiDMMtFr(8jwiVh?Z~|_nqEn#PHGnC@az-^4;9r$C2=z zNR-I9dOoAb17wKm-IWyL*!LM{Bb3Pc%ke51X3TJepCFs}I)bi2SkCpm)3jDrgIzhE zR(#PQ!I02mY93296Bj}3Q%6>>G0$l0m2fa4(AmI1CDBNYfl6bXV$q9)+zwYddH_)P zlg`|*sy&9lsVB2Tx}c&a-o7558s}uO$-3Im7$Au|Ol!=n5!AI8r6mT*+YhfGoe^?R zlBY2JA;d3Fp~t|=p#}X;yzSOT6eML|=;l&gSziEkY#U}~#@F>oZux=_@EC;G%>u`a zHv+wTR4Etyjs%vBUCV35Ix4eV2G=(R)<*@-T2yfQRSw87@K9;t4L|tP#w8&d*0b}8j@%S(S_XN? z;1)gA-|VMRzoJ&x_m1fNU?Bh&(4M2ukbF>aM(zv#^&Y);e|B)S9|WZ|;Rt$v7=Xsl z=gW|uqq9#F(K0ElR)h|G&mUykd@GiH`H!t)&p$hsaF}7Ad!tnPFNXOrPB5Rx?Um&I zxBpW-qM3(R&nXFCsS%n?@Kk2aa)^ zWatolsB4%NgTZhikG4KilI`%&4s_0!COm7NR`qXi8uRpEXwD1w$&eo_LdiSgqifMq z-z1O7f(Ck#@#2GLzYC##U-lsr-e)(ut-9GtR8uI8Yx@~F>OhI>qThe+FGarw6D<9+ z^|AFx;MhVZYf*4p*mqT>Is$9^IXF1j-tusTMd2sY`KR->UtK3)@0%0HYzr?|gZvwi zVaR~J2CFl4HZZ9yo-qsgH+~pYcys+yt|^>!ugywi>iy;Wq$fYFeWlsfi$(GKP*LDa z9Z9T9rR8kz?(i3E9E<)6ahqE)$3OWHo&Zy$q9Iv<=|Q1;g}wAKDRi=%W_<5>txYxz zZ&8EXC5-}J>wLFr!@7#pqOl7~9w$`n-Ge^9)KN);H3JRQTBxF=uy()Uw-}6fLFiA< z`{<$R*5aQ=Pg0&jtw{F!))Jibao<{`HzF=OC_AzNEie1CNlbg;B1Je`fQfq;%~(O< zHs@FJ6>b!je>UUO@4Cb{{+6tz`H}=Azz%ie-SW84aP)A(mQCHktlQzo@y%L6&U+SM z9pSNUfI!<4kYkyo>vv)Xpk#`NA^RPT)0R0}T{N<>$s{ssZFTzVZOy2LhWMGwQ2@e+ zlwR6kk~_vLJVrLKBus<2=ZHUuH8`fd_t;H6lVK6A{n?!E{L%Qa*091+dOa>hP~1A1 zg8TW5hN1-YNzb=)i{eazqjiFb<=o<Kh>l;ocKlF9IzOcJ zEx11Ft!D8uy{vp907}J5s@_ZM!Oa*AG}XUX4fMV*9J*@`FnZ?cWB{_csC{S`31BuG zsmywvl=?!kzasR;fVep#_wl1G4OsignQ@Wio+yW9@uKoztdT}6eTORe;tt7wa~)=+ zOs&R#@-zjiUA$%#m}X-5_M049-eEA+=u;IWKs7cgrN2C7S7LND>o#&&XfQPj>Q~$t z70zV|-F>P_5~;ye#*Y-2H%_82G0E&*ODZ)8synhg%8Woha91Vqu66G5y))tr6`;!{kF~@oJNnh$~15j6m1Z8slt&iV=%4euW^V$oJN}MG{a|elv=XeiNt} zaYqkM#*#3B#@LUS2lu;nxUnY~qSlTi|6 z!N8^Pbmvzp-%m{&Pw_2Zz-X(Q-1EEl`k-uxz4&eFW^@lk^>Or&0X>~>1)oz9pd&xMtwhR!qlu~uJT%8nGFZ$TLJMEnY`x^`Eu?f(em zmk0s4J^77dP#G5fP@Bb-$s$ESBl~KR_Gz{Srq^%T+aVg<{2MV+uS$L1rztLVam(lF zC4UA0lQh|gU_*x(Wig1pj;MHX8k5m0$>}W-2hVQj$vBa#+oG9QNC8Y3Z4m(ZdXQw? zgWC2p8o^4?2OYKqCXEDZW7r?YlJ5WW9B1KNSBt+N`Ga8h`k_X1CTR1+3 z=OpOW!K!yMc$i31el5@?5{O7w9@|G+r?z;(_W^Zka9>vrA%b@-@3I6HDP%Vpt=xNE z79VTVdhxZI-St+1`4p86%`+}8&gJ$Mst#@c*Z{cMOg!{Mx;f2`9E~bZk!S zo=niOZ6_0RVohwD6Wg|Jdtz(e{=Ze{d^ykaoR8v^uTY(_TCg!83cL@gicM%w+iwBz6ky+HJ2RqZxrTA*PKl(yF6X-;_@j#dH^>kvWU z394x}=SOHpKh^^^Mnnx_2S}U%_{fqH;@hmf=CYi94laM^jEG;8;ip7uKJTUb4FGXMK6UP*R0<>V5vTjv$J?7AWyl zWlpEMwLu0-pW*1rF>m|1Pu_!9xBg#7bM6=4P93aU{07Cg8yx%5`zd#)rp*OA;q{e! z;Y_dBN%rRZ(-yy>9i;hsN!gA>3Mbqw59m=I8$qeacS-!?Jg(l*s1_PeS+{0j#rFAwQOOmOMwIcpv z@)%_fu#(aq!}B+!<9@sAI;o0JQ49BX){A;}tT?!;F&DGMCFrKo=oJY5O~gpg{U9&# z-IJDO|9ZjPVZufrhoDIGuiP)lZ4Zd^w?dBn4W-@EE?uyU38Zq@FN*TjasFpU?i>e? z5wVUBCoNA@6${vnKY_s)f{JfO-Qnzdl#|CJcU$PmNgS2QMd=*MGSPW1^?}k#HS6b3 z1J9o7ztZ`*a7)|*{w%lIe0|e+)$zGYx7eAaGaF~nGl{9=4ql^WIQOY0?1||5)0ZEEFki)~DRGnP#cW%n#Ohl8cKnQPLS8*9OU zkb4<&d)|-SdEVKL542h=D*g|G>e?J@IDP5FYAgh%i}DG3MF$4Tfiv>&aqFP7l2*xD zbBH7=j_JD+w25?vic>N7dKS)dcRBVyi1lc~n9;|u-Gl+3jHPKT&vNz>t&^tKxz1&% zc~RPTN+R2^@?f7Gn?rUI#_{^ESC{WwK$*{bc4Q3Hk4ncj%4CId**wZLW{?^cGGP*P zUS$s&qGn>kX5(A?es*mqyWq^xsvR(^*~6ONXQ}$QvKB=ftmjDMB;5Mg?|M$;vEt-vWOlGotcH*)HyR~cs5c6YkT9dRp#5{0j^A)Oq zH1~F^%Z1@DXR2m`X`1mla$l%4Os+;eGxpv+#VvjbPFL$@mm>XdEG*8Jq(m)ic=O5#8VQjoaPhAlRe*5NWyHyN3(0m!u;)`kz(M0 zW)qQS7p)w`2$!%YUqT6!>&vss1@}X?JI*_6sbq;}6QnXvDD;d5atL?_TW^rjolcjH z!OC|#f1Q1NNrhnJFfJmIQ6z9=4;gftp=fRbw(#5hSjpah==&bVD+ci<-kqzScnp-0 z9avz~>w#HB<|#C5pkg?=K)k1sTIm)|q}albK7nyZPEShQ7xff{+<65z>MngkHy%5Ct^7p}H~f<#yJXiT?HDK0k)|0!)&Rj_42uz~7x` znNd5{ewjp6jV3x8OP+$dSkC1+tm*kC2usV5(0QMKvkj$_jS6>y43Ro>L+`|C{@tJcAqf>zp2C2Ci1L=wsYBjUp3&cff_f9-l zh?FNel_VN%kR=77bXeF>2zZBbxyFlG3DV7o2kbYW*Rftgj8l}vC#S6Uv2IuZlN^DC zbO)n(M|B&0H*$QA+pQ6GgxMCes_I_}in|bHx2S@h8_tp9(FqfLu+FVg^Sv}HO&)j> z=Ne5M7y2z-HRe+USN6=GXX(smzXT`Ih?-h|5q|7m#|EAC5%3kIWyD)i+pn4snX z>YrR?WQHrHG$j?4D(z9C#D(KDIJTfX5UfRtPJkhF-`((r)Qj6`gl7Fnc1D(>tgtCI zt%JfDLWdGMVG{UiC3ZhAwK-6Gm^B?-oMe$VpKhAQ`S5)Q2vpGAC87RE7Dz#Njh)j(B8EfrslIG4T?Avt0;8{L{ zST1)sc@Ue<5Ar(+^aIk~D}f+Dx#PiYxqaaUQG zuChOKJqUukG}>22mU>tlGP1*iL^pY=LLEXYP=P~rVvx$LB~T4Rej+RMlIoHHxfaD( zpGF@qT{AwgskD+pdJ!?am>Lq`kwh&iVdXX>@O0Ys#j-HWA;ddWK1a0*y{tZbSF6=3 zKX-vkr9tVm@vjm}nw6-JXt07G0?S}yRhX21f|9D&T}j6@LAa!(!mo*&+@<9B0-aP8KD7WN%7NR<8kGoT`3C#=hT@2 zBdbK&K-&Ivi&>A_viWKc7U(*f1O1fdY^FgM4ck)J?se-Ai;z6gG?^LI2JGK>aYi(9 zO&vCCwumquu2WCJd%kCMm-=~l^BbB;Y~@o zl3mm{ws*&9V`3#q!iMj4eI}M^;t;=KF@({`t4RP0x$><47Osu+h*6Ey#w0 z&FAqeoMz5DH#n-RTbR?#6@PQ;VsSF>>K{j}%okPSR5@{Ij^jU0E`5s@JjsTxhOyol zZu~BoXXojYmFb;d%|iP&4zBXvVhl(sh1k|2)}kw=ws?nUbmSiZIG9;?9DRPn%!x{K znu@;$^hM3xLj_+KCBc4W2R(%Usbon37)g@(+c8<|J@27x@bX^!S*$i<{WvL@$f`KC zb8Bb@KwdYbH(f)!6a6ING;jQd=sUCP`JF15g;|$}ex&im2~D`0y>xxx{)T|Zf|qx& z#ymNe=o{VvvygD4;cVGHwcw1CYiu*?)Xv2(ZK38^H?@@gVKW7E`tn+a{gV`ppd`|EnG2aZ@mWD~$K(p__@%sj3N!e&<8+I8h=Dhv zy3%WYq4zs6{z|-^)*}z>-X;pT99*#4-PdA99TOlf96QsUwUPj2p1Es0r8STt8nz<& zF7sCG5yWt2gp$Mt@aZ?uI#gbs1yeA%vg2?gd!e+ud+crYbl|VkBK;5|k(KUC^IkLu z>DtQ6DyjT9_G-5i{MuOca@D97*`qzyluocFTWUp#iY#G^R4zRcg%I~b?1hIR23 ztoeb7$7VOtwCLi*`ID#qiR+&ox=Y~TtJ!x)F{^T%^r7jC5X*Y+ZuZ@<5svvbv&6OV z8c-eZ{5Hw9yFJ=4s=MwxW693ej8oK6D_u-`GXA( zW^J{`u4`+0s-okmDo9tN_R6M(KJx1ouC)XI^`Ev;bJ>b(oZ!^Kxta(dKpgk z;;T*PRHYVCBrkjs>&ChM{(}%x49UjDt0H-X69_HYi`ahvBC3fH_mTnc?G=TZ}|QoJWyy`)w8W0jJWx9Pcfg5y<-J*_Yvz#)@wWos_Kd8L9-AV;9~ z9Iis@2&ZiuQCsa%APMSN)TxuIt#a1Vau)_D(s~OzMjL#kX)wCKZMh_Wf$v(0a0y44 z);rvfZF^85R*%y9DmN;W;$lHX)bh?|Wb;{MhK+BgNgw>Zw48x(^JOFlM?kQx#7Fg3 zu5*BtVPz#ld8uK6Ks%f_oQ^0CDGlaSrqWNnE?TB|MaP0@l#A)y zKOiRMI)sU_^vuH6A`_Rp>5XH#$9lfQ6bxWzd@?W62GVU z(8}wxT`c|x9q^mSxL3wSXISfFsk%5xoHg(fB{n{Y3_M`}njfF=3%ry)0`cF)m z-hQAk*Ug$?V^TeML@I$6ehy%*mFaXW$6>`yRG4D3O%xoghVgW+PZm47Nc|V+1oc5Ll&Pw7?N*sLz)>c}Km4YYiLldNPc^()V zH%g+Kxjmxzy6i8VRYO8l5Z>BDe%}%g#5*%&e#L=nQSGYOa2a@}E2Fap>QdtuPgrM8 zRs)sfClk-tUe7cT!m`|E>jMlUxrlWgD&$b!IhKSY(&>Nn%nlW79{ZqK#l>_!mm6z4D{Q+brlVNwL--kI>s?(Z_Gh4JqV*sgm&zBvPv)Q3fZ96#jQqQefp*Lrh1 z6vWZb;*_N(T2Qz1-nCpeo4MGgDJ>k7O9}yvR^wTfepR|~U4B7-~#u%+YP_ ze7w^{z;)Yd*3@&L;C7lq*gb#QLrQTpl~!0B$ma-c$LVyYjv%b+=LTJOw#tM|B^Crq zNtGg5p_N#93|{`CIObQwUlz%D05ff@+U(x!`lYwJbe_#!PGgJxr?uQ!iM_3|2%KhjNAFE?g*8;c`9ZNip80+iY^; z8``p;m>3iv66x9r8z6`DtIrek6TU?_4VCL`GnB&4p}HfNHYGb?D3$jD3w0YJHFAh7 z_>A36-3xV@qd=i?aE=i}b`_KC3?*DB>{}BYl#+uk_x7wYf`dSOBOC0sA?|+=NCBwp zc*ztIvgC2p;bZ))riKgXGkc?u{i=UK#+%5!lM^iES#geol6vy}9k!C!=ay6D(~CW6A#0HHaSux!QPaF@uPb+(?e2X@T`u0EAD~##pFCHC)ZZkXY#{CFN}1S z?WF^I$&!Eab^TrDUVAFO!3fU%-~(i>L{OUpI5wGbu|vQi0flNwV1&&4uQ^;z2WcD7$4gE^*fN+T6|siMB( z9=ea7!QbHsptNgT#bAt5l@_|?l~J1)xCQ!hgP;N2QCRM>x&OpGn{K|*NwS1Km&`nJDjI(?rm z$YjLM>dCet(%DiIf(QT7{^tvRSh871ck+1M#TqE2yq64}@hl*ibN(w)t?MFrlHmSz6;j*>yU` z0Ys^*aV9}s)+X}A$`h9L8y^=aht_Skf%IJ^JNdOoLN4B=odQv3y`NC*QV4O)` zdR^(=E*DiVd9svM*n(8YSiwgg1EvqoqO}nqIXQwZL|bLcEoUt(TiLK&fIm^>WqH=fB8Jc+UNzkE)U6Iue zW7#2TXRWYnFyHRUku#@3YB>(uq-1Aq+?ZrO`WD*gmRVE9UJ6_Og!4*raJ{`us|pY(kVcv0OyG|H1zt&7m~M;T z3Fj4AK|NlvdZj48>|p$jgLw)JifUt(HI{MhG5Ce;L>V~JR#p=G(~T5mXbYELuu8ns zTSe83Ky?($=KX|B{d1l`!y-V$-aXRI%jT z)6pCDWVr%lT0hb#x|E#RdNZn%9?;hE%$BQ~R8wQJX-u=T_9z$64LEk3MCZsTL*-6Q z0m>1_b65uovXMI@c+XlMj01sURbq?EY6`^~dxoB=32DNqgTozSoHT1;#1Mnkf=6YR zM=3Pp3|ew^bLnft=ppeU_?hxd8*3Khn&`49w&9@Gfl+0vl4%0k@QFAC`1=~jfe602 zGvD)ie{7Mtt#(rau?8_nd|#2W-9?$`bNUHq?+iLn*`IQ$5aRrJvxT{#z%!`n#GH0vFLmt!DikwzV=4^%Jbl>Kvf&JvbLtxuJMvA~#-70hgr|OMd zL`40F!aR(mskY#+q`G9{O<}x2j;Zb^k{heK8r^e03i(NS1uTYrIrL>)<8QqKhSvm*XsmxMAE9AULf* zarHF##Qm3{t`c2R3d>!v%GvSc+j2GQS$Wzyt!H`~Qv8VBgtw#V4U)KWsVrX^Scys& znb(=j+hMwTqz|Nu{m8DIZHpa*QIvlL)c#o$KSNz)G3f%}f3x5c{08tBAHZs(Y*{Ui zxFR0;2hlKUog>;ABFyZjt*s=Uz9{gE;n%Wp3(7Xn0nr#A9nblR>^901F~D1RC0#X7k!|{ea_s}a$v(%U+(uA zZqrI6RvO4w*feGS2+Qd!Sy{MQ_`(Kz4K(_RDBM*gEa7nilMCks#$tRz&pF6biZF!kpOF@~xDKTHoR=c@_ zUKrBoAw1K22p+j1G5!<$v`_E@HpbGV8UPq+`uPunHV-cTMC0p+3VbBR#h}P*OhuHF z)o*M&so#Yg#8scVwo&Q6QF;Na0uY2Np|vmqX6*x z5k7abhpgKA-_yq-+Z44)MWQ;9yhDwtV0&CB1DU(emW!SRs7~iOM3l0Xs!X!1=7WW# z27DfvL#&0yr1_=3q{iI0mfwNKy3Aj3H*EJDTi8n`O~+2Zdk`_E;;DiFND4+$xcn>& zB>8X89>$uF#&rAHQ&y!dk;3t9I(?eK8q8(XOzQ_E46wgNg_v!d7~ZHR%|p5Ngb@@j z70y{k4kI@zsBBH7_T4qxh^e$^g6ts!Kw3F#>fnZq=}jfyJp(k~0Az;ZcNd?fPXfh_ zhx2I3nA*qC-Rbqt9AK(g+tC<})*$zGvRAyHxZm5)VOj%h?5BV`ncHmI{A_RtfO*;^ zm-IgfE781eGW*A&nG#F((-&93z>F$Z_TH0x>cQF$acs=eHh~NVa_=@_OnjZ-RuIc% z5m~XZRN0Rny?L@j;Q@^V12CY*=zzzn12Z0J>1_99%2F(`u9=;OKvQIy$g~TSJD46J zfTHioOi1>1QGvF6(xRd?*E0AA)-B$A=I>E@7JPCsm0YbB8JuqRBKT0gOzJmnvRO)U4=UYIgKY!-q#+6JhA_)OnhuZVrQG$$ll`6X-rIQFkg zga2t#MiyQ)Y~Hrde}*E)jTr|wO@e$4uC~}d#6Y(6K@Gl(y_+XIu&qd_YSp7Mhc5S@(S~y_Vi-ZN>Ve06!{XaVp&; z-mIZm%tH$3e3lxZ1Z{6B`7*7bV*=ZT7ny}ToILGPR9@|5)_6tDWYb_tIiZkPSxKz{ z_3oZ1uVR9eWJL+f8~cO126ltt%<27BaH`V)>yEJ!v!R&<+Bh{ixniIf5NVO$x$v;U zB*bl*wz#Bf#>r>STvwvrswz_j1u-y;tRKp}bg>v{g@6%mrIso!2*Z zQ>Al<7(OQ;N`|4jaYZOj&NkSUQ&gfZnJP2;-3kdCMvGpdMAm}{UgORjR&SFW zN91w_fM=KSs+YMRJ74;Xsu(FsN)`GkMi6w9HLT7L4ln`+YSHGb(}D}*1afWQifTuB zvtt?5Jn<|~8p@a`Ac&AXN0x^5C*-|1fj6PYKBb4h+iR-0*pt7tQC~G{Z*2*?R}`nu zxk7el@uRj`BS)I3ntgBPTAirl4j_~kK_c(LAnTaZQ|quTxqdG=k2HA)ii)|;Nh3ic zAH5WD!?#66$?Js8y+tp0uFISG6dg5+CI`Zl=mo5t8GK7Q_DvnKu8*2#H?lr2P9^Qr z8b57TFy=R+3}zHPw9hY++rU4z;?q$WJYVw&uSJi);679Q-gI;Kx{omF^<&~J9N&la zm(J4xbKR5Rf|1iK z_xLxq7f#<}gnye+Q-tTM6v%1RZ9Lq3b}Lw1nO^o=wF{1;;ye1V#`67%^6C_*iUt5s z^=S+|UBB!P6UK7n?8e(@TquH@*Ip0rUBkzEGi)OoOLQyjN0q zSM0fB;KYy7#kMLnEVH8U(psx#j!&4e33Bdi&vhBLoh?fN8an8__lpRIu0>{ALYF2C z7_qftDox*kF7XUwJ={mZEU^FZ!2YWv;%i80maSK$ae&wNUFSaC+#6Jsp**sPq9cFQ zL~(iyveTI_ST7nrj@!|<85qK;hhQCu9f6hiGQ~a~Y+{-E*sRYM^-1g(dSbjbbD{F!jRWmuwZo-|vwmC48KJmSix*AG*dd;CRQ|?d zvVk}VvP}ccE{F>LgXrR{PaUZA!(`rjd)6j|3U=2IeU@hVNb6sk8{0<)Wk2!$b|LK1@h%5DebN*X6=)OUgf-O@1yqju{4rDh z`DJIDX!|c|zclUsuPKUH1^h|=amSf4e=s>88Lt0mP!v?9RyyRj`e9i%QgK^PogHXe z4F*fUH#ImxKC$K0K6F7wg7ch?&`savu4P#tOXcMwre=pSTH@e=gzJ<@X}F>z z*3ThMAW{5`>fn@FgqCa+hs6TC6b;Xds1eMvfz+cATRnRW!`P0$a-%wPb@^;!F_nzo z_j3%2MaDJvxadt^d)=!uelDV3iU@iu*jKwlk%MHSH#=K&*H8UPzF-oNVj_?s zS(YIze1Bn7&^QVEklY;O3;wX{t3FQo#V`xRFq02Zg0U3v)ym2t;D8RH#N)mqakCAw zsV6G+Zzx)*1gC)8htODAo+gcPwuz>;5Dd^#QxWFeu$?Vx+SIxkWjpunvB>Sz&D zoF9I`XZNGkyPmRg(bbv21AkR4g`usS$XepJZi4OGW4o}TSmvgUUJevkB`lpkNnYlUHt|8_k$t%itM+OX--t}wts#;;)>?P?xX zPBGBLt1+KSe$*Cb*o~d!21;qA zwx)}7$b60ar?zX6%<1n)C|jU?*LFYNq^_%}OXk>E8I3)+ksk{{(|bTV0@HS#pPZ_y zIpeV?u}4Gl#{=>qUUyDR|HIP|VY*vVhMKO$u9oQuBAXitp$pXQ5NQaYTuF852=wr` zys1vJ!)C%pI4F0JmHU71(jGkava3 z33I2c0*0qk)&>XgXguXhJs}L$KK(ArxR=QP|K7sj_ofk2K*IsOHj6$9Kq!jF-0WSbbheSW@SENul_WZ;_o6IBWdRl5t(rQQYY68O^g8hYkj7<`VoI}-Rn8K zC3y~w86H~ax6F!tckcSb?o_Q0*AQEBsw0I}&4q2NJ)`aKp27nb#vnK?+nq{PMgP`|RZ=%dMJrYz4Z%mBuj$0*p=gpR-cxej1<*FhpJg z#_S7;-0HmZKWt-*IGs>kDd_0FmhOrObuN?7m4!$T$e7>z3w=9^0I>Fx+%Erb?*kHR z@Bi@}57o{a@UFcYz}UtJB~`I^WOIAq~>S69@K85T@DxfcPB=%fgQ(YBxxS`Fs-@`shv5N1X+a!%yx!b*8x{>a0db{*144ur@&c z`5B$MQo7x`oD02e*U}&YXi|r{_LX1#)MY_6NpfX@0#eIHjVL^7zwz4O){BOgW+V6 znD&(O)*gF*2k@DMO_P^QxvWUCyS|b+NxM{(LFXX1eSAKvoh9mja~RaKPhK!ttuj+m z3pUR$jn5YG#>XrB)F>QW1T~`=k{H6^ShI?wSj&*;O00}^qbPa(ZA%;$8}9l29?Bhi{;hHdM^%LhudE^@ID?{NPA6Z^hJDcot4s~W+biGU7lP?2=?qqfD?m_#} zDPNel*k^hwusIFN#W8+G!~F(9N16>6ZeC>|fahtSiM#{ZmQDI~O1 zh7`Cb%?ZtB?jY{T1P#CR9q7=;E2b^R2Pc!8h6CGgTQ7&&AB4PFV%?+>T+~A#boPJa zGE7*8IpFD|`NPiDzL>*w+rJHFt~tMMCEuh_bms_Y_w>mSU8Bie#*;PA5Nt zUygxyiNM_$M`K^f6v7iS60E%{YRt>!Y6a7H28T*G!Ff?2iGC#3|MnP8eL_NaCT%SF zT8ST`IJj_=p@?a^2mtV7+`J~3`Mie+|9n$-IL|lPFoZS+afz0cn&Nagp>_JUDHbOz zd;2*4tq4|+d=s2>It&{XM0?xhFAv-pXHn)0b&Q$MBs|HdTX6+c7 z@{li&yu5xe+13u-r~1veX);A3vQuY)!G0&A+Mh^%s=a%oq-m`_(n^w}n#3AJb-)Lk zpLd_ee#J!anri8qJ@BlSdKHv&==2t5TGBL77(*QSV7zMx|_^MP> za};wCxCOg|`41w4poExw{ex3Sw2x6AA%PpjKk@DDJ7>%LJ!$PQ&)&I{5^O8DX&O;p zjAz8Vo2-n(2~bQ%$i*dqfrY@FG3MTx8fur?UlnthMp$0V>pap33%6mmI~oJPJn?Sp zU|(>h%8V)vzk?J-vgQ^VzFu*}IXhOEz%3ovAF%Bk@r#ETihrK5!hv_3FAqhme5YeL ztN|UXP7#t+zW^2!iob{SdpCbS1`j)j@mhy4mJcqghNB?{dpJ~gh<6n0_KUkhPz1$M{u9Mhwwept`#=$ zH;JJ;Zk?klK5Hvv2m7gl_nYAj>nr8z50qWl<+Ck~tq#hI z^Hm-=PG_a-mI($*T+AbN`HqIN0-yNgms$76-S<%gh zw(;&nnW>+IFh9#!RivT60-n4p^OAOt{<+43ZMT( zSly`{bs8dZ_d?*%T1Vm8`fv|77>e_j3L`q&@N@WM?P?vK1rN5Oc7v)qc)D}!aZRe6R4=fa6evJ`)l#^7pL zcWB6`i3_RO*6@b@Kp(>=N2Q>U$j}k>Jd;T_S%90&mDgstp*0pREwT3MlS#+d)lT}* z;5s~mv}J{)82N=UW?;Jb*1XgA-(ry$u>9ZQauezky+@IR$LU@eNKctps zW=VfFpV-45aF1+gmowezIMubNLtsT&uuotiFiT!sMzMK-%iw+0?y2dR?-u$iQy$h^ z#^_Jz9ucgMaHR39$97N-vSWSKw9yO9+BA~<>XW5M5~P$uH)qPWB^5Ur?q-T@mw^m8 z!6=GP&%Q+d92c5UfBBwmk+{Z9S9I;WD2>i8WmSpMeI5Hlvm|X*%+THd8fpmdei*M` zggYjOcZFbnnid65^4RN7O4%13ZR_Z(-6p0J;l!R{D$OsHW%z-=Y&n~1wspI#Ib@tDY);OFBBvu(jLpLwI}cdrexA@jgp}j(IAI zt8>e8OXrmHal`*~4SwIh>*5=8H!04R^N`G@rR!fHBy#zN?C=fm=Af7veK&JoSQ}!l z7qX-nM0>;`!|wwp?nh`WJmM3^P;YgU=@vBLqRE;F#RRK{Y?9Z!(a!A;Y~Bo>>RY+F zK_>5K{q4)ZA-buRBhqOph~Q}xt@eYscs!;cL^DIeV!{WOLl5ynyCM5q8pUo5)}Ko7 zwXd=kI}EZFjOz1;OB?ofNU2{o_Qo8~VA@%+J~P%m3j_xQs{S4^^;j)GeP|6Lo z11vc6BNI%O>aMz0{MQX6gWHTKK(UIb!a0A=!!)BuI)~?NtYX5B(_0ojd;LvPx>c5| zAzrRJZ+5TO`@cxXb;nQ?ch=sWad#&Zqb79>k<)B`C%1$E$L%&Tih&ruq&e`TDtWtW z_z2c2EXMtj&&WVTT|O~N*D52zZdL}@Cy%Gy>-}CtIySi2a1v0|>P%w!IGxqpE%&#B zfX2eVIFB1X9q*Ulim4@mN2X(0L*zhs8V)m|f^FT+#M`lUx}?-+~=_vsZL_9jojD{pCP)7i__XmG5J zK$F^cG~*T~i(D^0Fm^x4WQ%h+B$zqy5TZur&(djg??YU%Z&qnmiAnn9X3s?so8#xk zgV?@Iz8tt{0WU9hvxyxH2s8=TPnD)A5a;+tjU~aGtZrwbt21`Ku6D zbm%-WWzR>_^*8gx&#S%-Aw{{1HmWkRj$4njxN306xcQI-3f~`RxaG9vd(7@Lhu>dA z|EmYW_JubkOXrn_E%Nu{b+EAHZPCN<0F07#=qK5`Q~9s%hX1EEylIOL0aDCsU(3V} z(}@}Gq_si+7T8ZhztZ!l3=(W4Ko6St4SbZ1S~9Oj8QWkCik+eN-ZY*0xHWb3Y|zkv z9aFbnGWg`TM@0!Do^sM7-Q$-YZ;7QYp0He%`;{eoUc(>?&GpBKt@F)(Cm_MmRYyq# z+Lbor`&gT(nsL**ZOg{Cq3Nj)kEYZ$8$+nLT}e+Y)YJJMH{tXCq2N!1)Y%K*^=>?r z?k=U9q1yz5CkZ*jumC$wZRw-^g_fnqKKX=!VJZCS9YvY3aq%FqiNNie*1$$`ne4*2 z_7b}k+vD38rD^31z)Ms}ng1(~LymIQ(~!ocD@n6J#>*b!mAB+8Fj6vjQ`qppk&(`D z?yp;m!5@eU)2eJ$Ia`xKv^t3h9NwN2Y#9Ib9&M~6Woeh!jH_3$ZA%V-n%m#^I2?A& zcdR*7WD3jH3WIO018I*ud_5dB^tbEi zw0QR8ozS5shMv-mKt>Ru(zecOJ0;b2xmn5NyO>`yM`OQpQgjYa4Wb4n(p~cd!@Gk! znrnvtPzNX~qEY9zZoqUpX>jmHeYGA6Bb>BK&X!VRU{)V+Ss(XXtUa3tBT9JZqye@? zs_~w&U=>_@cQ$`_aA)+1p~mrE7a0dvKsH-yzs&3DX3Q4sXX<7q;f1yGUt)cH^Y^&ozd&%RmeLs_ap-~l!hU%?UV%tl zE{GW7dcY00i)VwUzJo?CCI(3z^}+N+XsMpBC}AEaSPB*JA=k?*T}v|M&LYt&joSCX z7RdyZ!5HJ|>=mHjxXkt3#vh3mff~1w4&l-C&|t5;++pRk`x2=gNkH|}deD(}>J)ru zM6UgRt0?}b*EQg*KC&c-Jy_9l@qQqAS6^xm-L1Z9iN!}!OX zEp})uk8Y?M%|~eLrdNv;_d8F|uG#|;?leKUL*XWJ4_VL=|MtsAt#tmRF+n7HX-uxZ zbIWPd_B?S#>KpT;eX4*g!4YR$aKoUAm;KTO@^slC#G~BBwGPoRKUr3&Fh`-!yx%=Z z^WlvE=U`Cj%$VQLT|2-rCoW-(*_v@}P1DfP+_5KWjIUclYs34l-Ks% z`TpF>Ur&A0sqtKc`PpUFm94qO8n_wohui3#v_oE$|I!jhFHUigP1};c{}z_v@<}_5 zkJipQkaO1={UAKH0Ax$BA6q6>Y}@Ho6#hNHx>5>o$r0}cz6-Y94-SR?y1STN0yNW9 zm0R*e8wY3BG_GGioPFEaKjGHzAtFp#-*DGw3>B=B>^ zf}Fo+yBL2|gS$HtB7FJyU^P0;E4j{n&FR~zY_FM`yW@^c=v%a?RF_vkSob3iCx-hW z$g=c2ew$;mHFK`~u=Q60zJ-O1;)7?-Ro}o@f4}D9FU7uH>J!O9vsnu#C=b{JOP1;M&k)&YNy;?^_=&eoiXp$*s zgTL*yk?Oi1`H|w0qca4Gzwrj!Wn*5xKMQC4zH&zmG211cQTcy05&VC#7Wr@W{{zrW B^d(`0BeNK_CNlqgA*BuEaDlYkOLL6n@4 zBq%xO&~JjSyTb&8*Qi8a0BN28dM^RTKdT8U&~W z{{g5OqBaFzdm8{yQQ-iv0RX@Sh#+VH7Kk2zff&RTVEP0Mq!4rf0;cDI27-B!W`g+g zFPsnJYrlBVK+F%;B?r?oFbMp@?I0#Y`?DSUhZq;_On~$c=l~#Z>4J3m88d1leGu+? zc1TY~Ibi|5b7?PF|48MmkyZ#sZLl2aVTW{O6y@Oq1o-$wBrg6l3J6N@@kt1XfVBWR zASL9dywadxslTw|xsWkX00T_;1O)idV}8o33DPlsVJi?rVt?{LK)KOkf41X&PLIRA z5GV-5XmLO356IJ`K}`JeXWjEAFa3srEa-*&APWG= z{>cME0PQ!7{u{=~{@H#LSOM!d`gt9&d*C`4XuyCAhJQ_j5F@{+gs`B5py+?3UGxp| zH-do?47YxzU;><%{gJQ#jecJC|NE!#DliQyK^D|_9+*ypTlYMGGXtRi+JonN_>X-` z2`=(K_9;Dxf8VDNw4dvV6{Ms6!ijk2Z2^EX7|!SRj}-OS&k*JF0@Nyif3X?OAev`I-6m z7(#%DM?gqGOh`yfPDn^dejX8$|ExmtKWPB<79ha}jDWl7kgEV12?U)4f|>+(J~pZi zA_Gc^3AuPcfzKasFQ8#yVnMNSaPc7jUI+ou(ElhT1|S#^bTkY!OehvMCOW<#SV)47 zafOs0Q%=W{?5f*60W9*^%(5Fy%oMustOSMJLwe<*EOB}pGn;S^Vak`~p{zHb>RT(c zWO<5Q>VwT9wkqzo_Iu53E3(CBhgBxD4Sd^qRnyx-4JB9nsE<_lh>nw zdkAf^V4Vv9WfgAe1BJ-CWUT-b@kGr2ON9Sg0=7RzK#c+T=ofvG05ZT@k(bxdv9oJQ z!Pxgv_!Hq`yRZATXUkNVn4X>Smr*+^X|;Hpk`)g%_fAaFaNt)>4Fpc@^{sG|7@yGw z81fDV<$0E5iCUq6r{yYxgM2h5!82tn&*@MAUi4z{FmY`5VEyFf(w(O2k$06xtE4At zie1mYFQR}4wkIs~FMGniO&VwJN=G}IKGT7t=e+0)7bY9Gk@v2D931PDWi`6UV1ATH zt221ZFA@!?mUlOjDLwgWSaT}U6};AR!?foJYha@;E%S_D0*jkV?8fKJr*I!!8Y$v0 z->gSnPwCP(^oL`1pZCkWkJM_gHF&qf;n7$#TQ}qcjW&85xaW)lRMb$w4jqM5(?F&? zkswhXTvQNi0RfkJ>>&AErhff%F$&o5_V3MP;fDxayS~;6=z=SsPENfEt74Hs^{fljoTeTFUoAx4?g>u5l|&vEG*DZ*V&2QR zS2M{PERkFD0|mI$a3+Nl*nLa?K+d2>-yLn|p~WqebjWk28)XaI91YupPw5#O%kepW zEbhLmOt3c&3w4h4X*i_hl{#AO^>ZQHqzg41os4r9)o)!H`=UwUr)Ti&C0<^0L9}={=s}~EQ{x2uyyZ97 zNq9>&dJn6IRtLX+`8L*3GW8M#JQ?E}*D}rO^df+)Xvd?Lt^_9?fcY>XVCM|I7Q1|QpCm~5o( z{rJv?K#7@#9PH4`axyRacbZ?v)QS{8nPh3O>_y+toKm?#dOtp{%V>2zW35_L%R)vt z&sa5PLo+TN)w|=*KeD&-TKRh%Hq&;>%$j3@Kl_K`9GtqctQ2U$lvMhS)#i^Vpn53Y z<;dJGw17z`Pxh{lvd{t^SKZ6u#%c+Xx|PdvOoy0Xb|z0EyFQ=QO*StRDuv+}l$|Ex zfjd!Uxxb_xQ8Iy3(w|ZGCjH=jx-+<{pO~rahV7XT9zD$#Uuzmd6T+xWx#==l)zpSz zH>T3}q7(XqTB1viZ8lq&6#tdp$kIDYs9lI;h|Hbqk7D!IUR2#HB4?W$S`;Cjf(kiq zJG^GRhpvnQbYq=1;!>!SZ&N8YYd;iOz~k^&uj-DI2`LJE8~b(vUui4xofk4=);h$V z%}a~aRPPIKar28VnO1{x=T|$oAdLHtDb^$>NzA#;N5yCS%g(UrKr4?Lfy;)v=&sz+ z$nmkMpuJOus-dai(>xTQ-f;A_%h9NZHrAs3)QzXrN5^(=wtODPSvEYU#eyL?DeTq z_p!#|B-d$9L)x-=gt}4RmeXt4VNHeG9tsEp)onUvt$z@CkTEj7S6?`~>J~B_A|t%& zbE@!2t9(Ig3~Miaqllhik@IA5#ngNW1*k0(oO+k`L-0S^Ru7>7P%Nb|up~W~yMkvvI&5xHi zRE;1vyqvj(8yiNv#{3z+!kdMud48~eu7S*IN;cX=cVDF!KK=6ftg`@Of2Bp_hg=`! zm%0){`-eh5l&2@u-1{w%SMcWfPrucf$k2)sZH+<;!(S|!__|4tE@7SR`rb|mh zf|Jc(8`YMib}VLz3aiUM1ss_on!uRX5*4ECvHKbVnbEyi~fY8QEW7 zDDqvG+Z98gceSkII5FC1kM2nL-R_tCK&4!$`D5|g$bq^LE(>sivxC2PHl}advo936 zB3yjd!sXvvQV7wpJzaRR;`5CUrp*)hs<^lq^Rs{WWyj*sN%-W+eWjUiFpn~){K2n% zR7+`iYASnYF;sg&-s=-`np1;j4-Iv*uGGop(hsR2GB?zoS2&&0^&TNLb|+WP+#>EY zUMli@faQeLUMvb)TCDpP@!?3s@_W!@CNvZl8uEkI|e3IVt9R+moG}9lsyDfdp9A9jF**PI+&+XHj zcwKnqtZ){nA4QADcOO(kG6E&2KcGg&`{rOM6SQmOd^K zEehXG_I#}=<%SuL0`3)^?f>YX3)Cp)MGfqHP*1%YmzYR9qrPM;V`nv(uox`j

|7 zI#qWPolzqAExlf4kRrKuZ|;S$1AOztm_0XUj2L}-FO2SC(&G}&C=G^vnqmKOaBAJt zQ9zn|^WN20FJouWVFe0r9%}#C^O`CWsvB%-N)I#Z5-l4{-Dl7fT*MOTLgv)-p#X)Y zpogoJO$}Ti>-ZXfe`lZqHqc><607vsv!`K)3 zb4`F+L6iL%e(9JVq@MGF&qYh;M`{3F0gQf*_B;zfK+Qv9K!6RX02+W2U=HvB!hj$k zeqMOa@o&#>wDWrB_5b?(#_+F#Ujm-zUA&x}z~p=&SXM|c7i$kKD+f53`&CaD?#U14 zF2vRctuanW7h5oY@u(wgJb%yM@I*TQ%>NWa*VgV=`Nfd1Je=&|2oD1%bq}yQl=U^#t&m8k^9Hg1ApeCK#}4W4C+B2u`-i58ehyyw z4>DL2YK^e5^m6h9A&xh~-Sa=N8~j23D?6T*tvu2R>HeE?Nq)9}L+M`yU==_U>2j`X z98aXHmY1go;`fDv>jW;O|AmTgh4cg$)c-=q1E<*T-`OvA6#02a7fTiGB9DKb#VZG+ zbM@Q>1Nhkj0n>*d#7hLIKr6;Sb`S83|Bv0{AG^mtc8`DT9{<=q{;_-fWB2&S?(vV^ z;~%@n|Ce@;i?{bH;7d9Hz`y`Ge|;wc_F@Z29FEm3UZMBHyn(B zD8K_Ar_YZA7d`wucSA1@zhEDz8B%3CPfu3~US1au9?SD<2t074Gq10uD=$9}A1`oS z#@E#n?uhVYv_gOyx3O)EOAD7S~B`NJGppB_)4)|RFDAiIhmJ@ z@q)$EQHt%BhBl)oc-(We_hb~{5#tfy78K-Sv~jm|M))Az9U1v~gxSC*-K}jTbZ;vD zY7opxvHcpDkB<+Jk01}y-IkYMTwI)&Pk>iIfE(oC_PFEXY3a-D;=u&=cOi)Vt$$Vf zi$3gcU8n=>2$%7qtDE3(-0fXG?U62wmY@;AQ;N;Y%idZ-zy>ZPCSu9QZ6hpV&CSnm zZOLsVB7oo)7Zc~>w-kqqiCGG<;WGX)ZcA4UjsM)^A2ZM8dtC z5iXu8H>H1S%o+&?yLJ8J>WGAuh_#@owUEHM0Pz1pfa~Y;``5<6Vey`;@V9Y+WBo}3 z)e0(@_g|BCF3$h`=bujgmX7~X*FWm|TN?OV%>S&ef7JE2H1M~W|5;uCsOxWO;BPVi zv%3CK*Wc2>-(vn}b^W8Rzomh{#r)6e`bS-VO9Ow4`Tulvf%ct0tU?GE&=lkY+I z__tK#<;}HqwG>s<6)p@|_{!?`E=V-+>V>n5r@OAw4aQryjToVyLF*F^cmo8yWMK*S zaMjRLJii|Rs3^!YdVoyl_|Io==;zIWer{!LM#ews|06^KcXbE9;fH90+=AAi$p*yt zLG0w?>3UAz05Q3h<2eSM1wiZ$3J7AVb8Pz)b6xQK#Ionu+SvuXT!D5jv#YhU^*L?> z@gr}q^Gg@#>L7mLZIAE)@c@XKoxGgwL41CLhuj%q30?%jIRBQO*%JY`1M#_W4&Pm0 zS02Qm+X*(l?QhuXH|z=CegJs^d8F%|i~9hK*Wm1oph-)NQ5oUmgz)s_)&@;=mhRS! z@-PwE)s{-7xWOLvSne_kJ9JXb0lybj{!&gcPJN)e3Q|GtR-k1PHr z)^Bof=^|_p?g$sKD+6$q*}K?+)9qqye_@KWclobo`2VolZ!(<2pX(X~WZ7o`xj7F& z{DmAqU;PANkl_L7CTU;^vlUC3>S1;iHO0`Y1 zcW7N`gJ{!e%V@jk06HEzCHfU~Zgeqpd2}swBXk>dcl03i2=qksm*^$v_2?bw{pi!^ zE9i$9SQum&j2PS)k{HSuFbp_`8%7Yu6O0s$JdA3LHjI9Z8H^2#GfV_qGW>?Z6!?C;pe zIK((CI1)HoIMz6KaH4Utaq4lt;LPJ3#@+XMsztOT+IMg*P&Q3Uw} ztpr~Q4hYEzc?eYqtqFq(pA%LS_7ScT;SjM9$q|_m`4J@&RSTB zeokCRJWRYxLPo+*qDA6N@`R*-3z~H(pJ(rGITN~GI=sMStwaH zSv%Q0ITkq^xeB=h`D5~8^3UWO6r>bF6b2N&6wfIdDW)mWDOo91D4i&yDa$E`D331D zU6Q+mxDEaMrs9WN9tJW8tO?J3>pp^9U32+G@5pr zmCNLpB`?D-M_ewyJVuK~%R#G4>rb0S`-yg!j-F15&Xw*NT`S!RJte&?y#swbeG~m6 z0~v!fgFQn$Lo>q?BL$-zqZ4B?V;kef71}GxSG=xdUip0G_$u2~*wv7$rB^4JaGAuI zY?$JiT9`JN8JIPg1DFe$$5?P!#8~WDl2|%e_F36j4Ot(u*0BCyqheEG^J6Pyo47`B zP3D^0wU^fh*s<8f*d5tZ*?Tz9ID|RuIG%HS;Y8yU;k4&W>oW#2d@o!F$Fh%;&_H%{R_Z%CE{F!e7t7CBPv77kDl( zAV?snC>ShQE4V4dDTEM86B-dF6V?!ZDBL1^Dk3W4E>a@0D9S8qA^JjeSd3guTkMJ0 z2XRdC8{)y@Z^REJL?k>V$|cq%xg{MX3nYJBXSZ+8bRHoFlG^4bIbcXb_ z%oQ0+nU^xNvP`npvN^Kf<=EvMvY{%f8mQW)hOeflmZCPR&Y|wE zUax_sp{nszV?vWf(?zpJ3(!*0da5<4&8F?9-JpY|qpkB?XF-==H$b;Tk4(>8uRw24 z|Av0F{y6L!%nR0HKxANIkZ-VWc+)V>aQYVSt-xDdw`p$M->x-+8W|Yn80{J>7$+Fd zn+TgcFc~ssGxat7Xhvh^WY%a-WNv9*VS#C3Xi;EsVyR{M(sI{I#p;FC23#JV1Yfq6 zwT`!5L`Wgx5Z`U2Y~pNw*h<+xwOzE6u}idDv6r_`vEOu1c1U;FchqvsbwoKCI+Z$O zJ6kx{xsbXzy0jtbk-o@YS5DUluG4N3ZV7H1?rQEi9uN;xk6KT1@MY?g*EO#&uNiM? z@8{kJJ_bG&z9habzMt-J+zG$)-A~c)l|P0*+`lE@O2EB~i@jD6T2_F-M64R4#lf06qlhu=JpD{m+d3KUwmooVL#`Dq_v@gP6?5A3%_NB?D zm88?9KTbc&u+JFDRLZP=$@(%e3oFYzYa!bpyW^GEtNa|AoX0t*xh}cWdAfOR`J(yx z1+)dxg=mFdg^NWdMLoqgi)%}`OEOC-OCP@mUVFV>DzhjXDpxCSsSv9usbr~qQAJi2 zQ4OiSQ@v4RS2JCEySBGZwXUUJvc9T;ry=*vl{e2DDH@}jaGUNop_=`gci+0cU3q8w zZmz|=WxVxP>p+`M+vj$*_7CqB-?w(ibu@pF`tatX#K*c$vCf(p2UG^S1~mtJhhRe^!zRPiBi187Mx91C$Gpdm z$L~&HPDD%+PbPk){rYl>eX4X?WV&(Y=FF#Az1i_O_}ub0k8daQ_ZJ8j62CKi&;KFt zgYuDB)*Jal~ZQR2Jf{0Cof!V@Glq^78qmWV?(j= z330KpaS4eDK*w4F;{S;gEd&Sj;>E?m!@kr!R#Kg$s#YL7x%Ip?$IKAlkI6%g~%m+YtPocS!~u& z99$~u`|%2j*_8(Uuxm71bKB>hxCpPXgrDBH|AjNInDQ+<-$%)LwI4=)9I%V4+_t|H z{w%-lP$ae6E-;pHt*k3Qkqo1vHVpMo<&Ma?RrK*MJ32@~DQ zs%X8&-aof}KR&ziuOch{=0W_s1My#E{@;lFS4ZEavksn+XLs~lik)}nSD#0*xw#?j z@k~rrU$;H4j3X|Pei||uun+7%kV+eh$q@{HPW;o)uxP3$;9;q6E3 z$@onNumU}i!$5&eSp2v!|4wISy8)mn@yzO3!?eKz2V|3^2uJlpVS)XwjCKQ?j((0% z+~OPupAJ0{%-iyboKtjiNfE`}s&f^Vuxtdaw}S<&aa)hK$&A8S-JV|T6`>OhA*elf zFt0~pho2Rd*)@01pkJ7OpnD2r7yw(LxUD|0*%6xnl11inB+{tMU@vn^Oa&H`)H!OJ z)$4uX`H|98g{Yooq0O$Y?l9eSf#sMxNQJt=?|O{)F{Aj8b@^YGb{$qca5y^4TA=Q3 zKmC~aARibLTONg@fXIqw2ZIe$S?-do+mTeoawxAqqz=X3F#g9PtDwTj=f3q)Tsui!mV6W`Wrb}B&X-KR0^ldvhy zSr(c7u3o412n9HXA0&hVVVlBLx-PUF*6_O4-+nkdwu>Qn-BVUverZ2OqYaSN%+qvh5kC}}wQ7(JIB}|NKMiW`_rHwtsn$=T^nM9pB z0_y2&3&s$eT{&h41!MHjED${lIUJP>9V8}gR)tDUI#f$O-RdxBLA_Qw)rGgk2+t0$ z<&-orSZ0!XJ$@TFi2_lQ`5E0ExW(vA4)7=#zd?S=vpcqE#og*}5&gJT&*{|P>QNZq z5QOKaBo`_^)2Bvw5Cy3UnJ+zIyBIaSR8I$8BD zH!Psjsm^=0w!J*G-}=nR+4Yc8G0i(|4^3q2iX#-UBv#TRII zBmIK$m`fKo`;j~+`UKxNLz;Wfn~%kZK`wr*YD4_hnnwp>UN9pb60WQx5?}h;vrmB0GMk=?i9YGDGyIzc& zoP4XgUnzkZTPuY*IH)7-NP4!}Ji75&$IW*vzL;gDsK1Ph#hXiq0JJG(+_nP6GMB>zGz@nMy_IlEr4+fX-oD~L4 zI+fT(1tOxnd-odK3Siinl z3DjrUG&!z0rWUs~+xn6!#2{2kCmpQ0s>JSO^YT;El7w>eywZc?!5Ety)9W63xWzvl zld2uSHN0AZSba`moJ{MAel407_ENN~t25ULRzzWM!^322!znMPPSlUpZhL%>Q?;wi z3aXBK-!7j&LZa&Oqy5!TGWGHO=;pgrk&my{nVG)B)r#T{rfYl3i^&yFYv02Vpqu4k zwZSWV{b{om-*vY)B_x>UgC>UcQ`q_r}3kt&nEY@85?Zx8Fp1(qwOy@&=aaUyr@6sjHbqQC;C59q~pxXn5xxO4n9D71G;Xlc6GvPQV;yDcy$S8Fsg5bnSNx9)>r&> zfw`Y=qZWc83X>^mj6sp9Xo)h$thj2ts{XYZS?y_;=+>nl#b?#LlF$7W>y^LGg=?3! z-{>}!aj%LbaBMwD2`-%2$Z64vUy7JOQ(;=JB~L8z;qHtDV}Uv1-)C5dfvo(Cxk3K ze`-OHo84e@F@(7x4i-jxjhHRxjRKy2-0erF&0A$K;mAUzJbEftHeYMf8|p4Oc}uG0 z=@gcVCTatQxpdL@&T6N-^;A#AGJk+uvUhN&gmUb)#H*O`=P4!`D1cQn4h0mlozQy~ z<9({X+Q=$_*INu6)wGU1F}DwHi_BL84wiuNMG&OC~G1`e0Z; zq9<pQcBUR2e$?@9T_j`O@9MZ`Mrk3v*HW8!2erz~7`;csWmHvFPZfCcnb5V$q03^C zD_zVHk2g8Iq?u<6s+0JI%${VkQ(WF*oK5VJBYS#z+s`*tZU-TiEzp7wunTc$xb?hG zbQ4r=$@OO1#fK5)i|vwJ#|%ONdu6wBLuQFo4RGz{P3wKAus^V-55&W8Cj2c%i?U*& z8E9!$U+-HLB))DXMyk}MkGV7}j>KNs1tc~YT94i27Dwf7IQX4>MhkHfMN^Ve`4;3` zP@UbD+~Z3Y)gKqJ<{ty?J^RY;<=}!_&PP5n+{&Y5EQZEj*!OsQvt9d=*5}z966jhD zPO=fs{d9U0f#UilyDbm$tE~i3fPn>PL^G;?MHbPQKR5Xe-=|j zJ_FOe70+6sGZm*TJnt)sgUOY4t#2$&qjz0zEg83^4@X?f|8$40%Trsl9#*`Jb6ed| z<&B{ZJt^qFek<@53SfDC2X|#wm{IW^HvB^zkY8HY0YIQlmrO)*Ew3k0Zs3jM5Q%fo z9k?(F9Xx{^Ak4RQa@Uf)sre$KMb?RKr&nlVeZBD%DARu})GDFV)p`S~+TxQG_U|VnvCn?8Q z5_u+lVyP>-`gq0XeL~*iFnRWwMZE|OVZJv34X3@B-r);BhoJq>gjXoA(;s*vKZ))H zl!?*Vq);iu(*E{e_g<@s{S_rmGld{i3rR1>P~wD)+&N&?0qq;CpgoReT`*? zkoQjp_UZOIx?HVs*<~9Ho36eK&li^3cG4icW?ptR-P_8Calm)DL|gHdKm2h>M5^l3 zO`puw2xC$bjeF>UoBnDIk2&KTsuHGa9}S7at%`^$@Z}$6AS~J!O53~SoYqNuMU9G{QYHEPuw@WQ!W3|G3zC@fcX27=>8q&kn9e{@oRc++s$&Jka;Ekys) zsoAWW-0e*Nbzma+I{@Yz)B`({TAD-LiQ8)RQEQXUld&}YqYuXv&zIDgjy^?+gsL2CTmx^>%oMWGU?pp-6V0TY0|GFH9o@>_&6pz z)ZG)c!d0Mc&PnD@MKHHCAM!gm^c3&uL+OUA9sE7pja3lQD`oU=R}+{TQW_{X@;keS zOE`w4Y!aa4?$9u|ocPWhjs;tHwYZM9lotczTxUfiFdf!krA2BqW%+BG+URsU7O=|B z+$i=o!n!ZG3f6nsJ#A{;ly&SfbF|iH7jNJEhmnW|d?OD3NDn`Z!t!+(g#`udJ3zQxT zScnXi3iU58yJ6jR5|;F0POsMniful<16J=#fS>vcS!*O)}d>J=r^A{Wp z4`XOtJd(DosHZkgbdnAm!MKml&lG6Qg94N)muld_a?zB+Q`5!bD4^^u@?|o@v6wPA zPyKzloThgoo)edFb@Pop01(?kmA+ z`iJ@bO*-g5I`oPq=CPobjYMAp$|72-$aDK=!pno`HvRMwZc-fV)2PEXzcPhr=Rci zq4Vup?P9Y^mb)k*qx3x7v%YfRTA-Atei>C zr2fTEn4(htb7y3=2b$OHHTZ&_7cNN%_q^%n$*z3CP(%GHFg57Y<1@8sbe-W69mXfR zd%QeBZmn0;%mv>qinJ~db*iS{v6xIcwL1*XPgVT9^+mf{Z5*d>0sO;jmn@K;?Slf? zt|uAMiu43@6&<=PgFEtLSa{Lv^ayt7{n+%d=AmO>vYNWxE90V0k%xkxMa+<8_Eu5;keXVBF4k6V%eyWJpKvaJwL;cytMvcdP6P~N?BJCvL;CT zf!d#Jz&~^xH|_IT>+HSA!P?W%)1_#5?^Zs1&6e6HYssf=LFoR2@d0bC#s@6OK!Uf| zHK?3Ay%`UP{ord%cPuQ)uEnK8+&;>C=TVyy``GqdpX^g9`E)GnVUk1Yi4rkhZwqaz zR~zj%7=7|qOE{R0OYdS_KU1^%d{+3TG4(M~tRx~X=1K`;NY8kHvzB=?gVDY91UZd{ zdFSYBCm&x(*E2D!J@5b0s@w)4~ZU~q4QwULgC@&DP2yf&A#(WuW@C}a31M+FV4it2WT!d z3KWO}!);Fjp)Lo*Is-(x6vnbXo2Pg58NN3mTugl~YnO;|Yu6q#-VL(N;mCQb*Fk3Y zZo*5d!Rnhq<3pzsp_0LNeRP48I3iiOA4+B;_g^asdQjkNevm+hve&Xcba3HkjYfuA z`9Fc7?VV_~?)$VEWvoBA8OD@0exjIg7+Ddh!xCv?kYy!uxv$Ypzohc4&P!(WahF5y zy3s*@2U<+mfK`~eo&$XvRgZWKnY%aM#0$-w2ExW|bk&2s9Cq^$!|$IdG(3&+5peg| z`!Yr^GjHYZy%>=*hSd-}zp6WB(4Reboi&>JSU@po!aX={YNKw^oaZoFV_P~UB{2mB z;2hZAjr~k5`F`%~>QqgdK9$dWsi1?|tpPpl{`9~^e{Y$F6p6LC;P<7+R7AeYy!qvY zuie!>OH$V{9fozN-pGv z33`6wm(QQ#coxhxQqV7#^33L$taC(_`hW~qg;`zwNtr=@GS8{|aldF;yKz@(Z$ct_ zM6FGCa_UAQYG@6U5GHByKB|!)OVuiYtDG}=_4&vP805~Y@yR|_s$BC5A%D;Ul+yHq zJGRvGv}AZN^vsBfPG(qZ^dsTG`xxw8_}w;odyM;O;#p}D`Gm!_k|YtBW3}VOwC;lT z>$~<*^RlPo!A0rqt{mo46^{cI1u-5Xg34-+N>P9?LiZl+vv9(^rt5x)=G>Bgdfx5Zkst{(zZ^s32)`%m`Y zm&K(wXsZr2^fMUkzOPi@J?l0MUcXezF#bM< zCbe*i>`{f`GaXsn9-5RFy7$v9rzmcHDqA}yqHhioBW{fmhPECpxU0s9I#+L^3pS`+ zv8Q@Q#h$+`o2g(wqs}pST>^gFE--p7np>80$EPcU@!-G$Mt?Ov*F-&wiSkKrp*cx4 zHsgWwT%?q;Su#v}LUF#XLNThXo@WPNOXjiN_@iU)r?zr&2X7L_Rf*o)rj);J-b5^FCg}WAC@2tZQ_W1 z2DiB(byKqASRpty!2Ve6QU9?ZC&iU}vt6}J#cr^c%2Id~g>Xj6pbVG4^02O8Od+SY zHigO|K@utBgV}MC0js=58J)&{p1H>U@BWU-k?<7O+19q;_}snt@3vdf3-hV_c)Ws` zm35=KhtM^XozpE8P;Y!vx`qMm`2j~T zzQAfV#aQ9nc@rI2#F>~K72kLc$JERdE+s)#4&d{+lSDAB9@&9{dh|Qv7z<2$S+SG> ztHMTv@7tHy1HFV3~RE1_hk8BXiiVq?cx!xsc`= z7BD8W)UA8h-X&(n50F%0uSdA$F&}upCsy2HqtFz3Ji;1T*|i?Up77&|2F0TGk1Sia zV|EmP-hm@Ld3h;{`N6Gur93>6d~zXZ#%S}$V+PA@lK#^op^8D0>BRSI!YPzmsuV5- zw?dcPxh~D*cATtkS{3ofhnja#*B$Y#>-MYiCjwvaNMR8@8yE!@9IMT=Y>3Rvb|YP7 zq1E9_N=h#^?J#eAz|@d5bc4N}vG18L)?ee-SB?x58HpG`>Z~T`X9$r?UH5sqxk;QMPfu5a$75RC?n^eN8A(|?PqEtJ{n zSjee)$zim_u%_8=C)PRDB!>bhy1*ma$JEfSGuNJg&b#)*j3%)ki{=kl&G)1jP9Jh@ zWA9*7aAof@1?aNVd_^RFwW21_y~%Q0D=~0T4cYQg70S$~bw7C_rE;`UvK_#mGYo@uQ%Z;o?zy{M>M7L03tZeRy77oNAq{zPaVYRp|z#SLh-Ff^p%+K56&v~nR|L3OIS#Q%)|(J zFU%m)<`q8#4bm)>Os%;nF+Qat?$$1ITK3%NT}6kTBdv$(Fu7Ne4_h20bVAX0M6j{p zH!*MNyBE^L;f(bEXu}&oGtM>87NMWJ1bgLJwhnHGYI7?Of3L2~-pgSIDNaf{J@Y%{ zQrp^rQsuSl&G7wx3X=eP&5%&4R(SQu7Iv|Qhh7P$4uaP1x|@v3BXSDKzWZJq@5nnj zkrD}XTzabGSs&WQ)u`*ji41{R zMj3W-G_TGU7A7=lzY30WIi4+&T9_C0o#MdpAG3C*3bdS{NooQ_?Fgb5B(P`bI+u#6y8(0-*bDWbr=kzTT ze3NLjbd(J@JA95UX0hxe=|sJvMedWUpxjlsrVz#+cb@IF-k4Cf~DJ5}}w1xC+b9Mbg4D4OX|`@t0F+6%Jh$ zOW)F3IX$48WHQn%o=GA-Dl4yWv%MM-fW`6TF)WUiKH_c@Ta(&J^Fm)3h4KT-idWta zKDxoP;t=1jtayxbGtuOihlBAkjG7X90^TA??xZfp<-JT$LHptIDNheW z6g5#B^u&-&J9y~8qleybSZJMZv1MQLX$oR6i+{8G%$CAO{f)DRo%`N0XcO&Q9guR5bnh0(Uk@|x z>~5Y+J{>8nSsib3KsYC<Y)r$D@iea8KS7Fs7ma zGf^iPW8&ooEVd$xl{v2?*Or#W5?DA^#5JSmhS1oJo_l)n6woCIB(oNUJxqX z=#mP$U2j#gB+z8;jT}re1AjW2!pF3NB>CR+aSEi{REa=Bhar4s{F=7mRBkn<-LZ|A zwGe{Cujhb7J1E9|Unpl(v6ABJb9|V31o)AU<&|$5DaSSf|6#wn9C zC3=qGV?qh{s>$=dO6*7vwzX^SPB=%jlU>hyeOewQ>px5`OrzZQsJ3@mJ~e&I<+Hy^ zmHd?}^i&S1bsi36>mA|=dA_rW3-d};kF?(fvBJ&EW$X=7D{>Dn8CCYrB_y9*3VL>1 zDu&@c3gC2ic}5zvXOdQI(lphIHukmEJu^Pkgk1FFm4U9sc#&?SW2+M3?wKi3jjf}- zcB72NWb{Pn%yZCU*uUE5JC9h8cP)I_bQt^qndaQ&TUd_>#;^BB1VnKRckv3_Cz%u0 z7Mg#rb~v6lo?7EaPX=@pP!f8^TnB!oF9_ZNcw6;_!y$$FmCM!B$siov;>$!fo9Cw2`ysjE zntWf?l3e)i|@?j_T+=w-VZfN?nB+?8qtRYXUizy(QGMwu4TO3 zs=Dw%rQ<1&2j({d@-%#j^WTF;G(F*AM9%e7=rR%3&+-kt}+QOhAOyTvu_zt%~kkf#w#YqmEpEUmUpoV zye)5Fb9At*zPuAC#7}XM(s}4h&5Oxg!*a&*t#Y1z%HEKP9jQXHw9ajQXs+E??CD>N z5lQxehtgo~8rNH{>OR7k>1%JsO5>`yDeYZ^)b8CO)&5AXPNNT#GpZQtWoAWm#^>HxLD+uS|<3gRpxxaQ1z1f{s!27QnPii6cbt5-oO#ZZ#zrm? zFXi1WKg?I+Knp_+tWNtPJoi4SFkBx`tA$OLAKPSh=9<9T5YEF*rckE=Iti;{Jz=7~ z`LsIIO+#Gz!#qKKpTqg%*r!yT*Pj>X}_?4zi99C`F;xT zQRCfDK1Tv?+l`8Y?mQYtHuHurA1u(x&#vrCoZY8o81&rG2?aOEy61y38CmHCCb@p^$R=P?fA(3FYLyNCH5IS%= z`lHxTwr@B2z+9H@K^Nbc0{B7x{t&PJq!i1*U#)%Gu}y=TIq;f-+y4``3`z4>lu*{E zX3C)(oyU*QIu+ODwzUwf4QF&Zl&p}s`7?Tn{{RJ%ZBInL(zevB=duU=+Ug{Rwf_Kr z#mQIwnx-pBC=}d`u0IJI)OEoRZs<8w6mPQPg-v`2#EO*Jwy59bil~~gC-2NEH^mqQ zKM6EDSEWWUiejyehw;iIe;nUVT|nBHt3;Xrb{)JivVye-s`bYZNbop?%kYT)tO}N& z-dqwl7utf%T#eYB{jkzF!9!939glofpefUQsh`t@m~}f3nb>2LQ0x!W znTi{`NaRxSD~TqI8WOA8y{dcSqjbYav#hY8UQyerl2!Im{d1^!I>h}(a| z$+plaB^TaSxwl7?%krXzAjx5JIl|yq;Y!!jt$Jhz_e8b4ylY2*=(>$qm?y8*-^0?A z_J86T+6Q`IYyCFER<~Gv?hv&Pi2jQwKNgM3f2m=$__pu*_QT*SxlKbx{-|47U#YV5CQMu{Y2D1Qnq*C?x zTm7CwuY9>_d(KS`RuijnlS{Z9CPt^&MsJ2sW&2nq5h35^z>4M>RGJ5uJjZUc>6cO4 z-En4-*LawNvoQNnF|XOgto+C3&m_#YTEs1PU@7VPl|KRR4Ez58z&3Ncd!0{2yJ;%&gKzeuEvq84h`x8_U~Q0)u7w`hD1vwKc^qHK_kp} zJvT!0K9S}axoPu{SO8wyPliSR0Au@K>~Q9rQ#iHfm7sX!*1WB7&>LP)EweW0SK|HD ztJs6^@0}~jx4MPyijS#GE-oWu8%s)V2gJ4gz6aswm&YKNCD@A}B>w=WZS#IPs5coj zKmXP49Wztg>CL?|yJ1&-2Q>z6zsEHx(-n0xzZ}`Aio1WKE88xoHY)D^KKZITW(RMc zU(Xe5u4;JZtv2z^{&dA$N59jWlo?x`zMb(`cC9xVf&24PnP6hB1GZLOGOLQW^2|^B zd9!}J3fI%}%gsuf<#ocYBf}_9>t>RSQMG^Hl_s2>{u+W;e`Qs{E3J|_vwbH|63eG)>c16~T;%@%UQgc0$KI{OCRQ3)v$;>I z%F)@#-@dkBT~@tX5`HBA08{K?SCyfPSf|th_DBu(sk&M*5cuD`!`X1G6Fgp{?$Lq! z5bCff7jb|-A5p(={hmWLFE2|3e_ODTZ|ndJ(#7`Uuk6bmc`y3kXFUpc*p9m#hIyvL zM)KvI{{Wh;lj`>oLh;KKbP><%4^Pu%>G2cxmn9KqhcohEVg~%a=bCAnh5m{cNLg4( zsHkIR4@4Wp@>A@VZ|>7O7nU_mI?Bo|9yv#;X;`E_G6Va@{{Vx|BjohBn^2ovzWwV$ z8tPdayCC=!dwRw-$uF1fu5RzsixO+fM)4%n{y91kC(G|*Pe-}OD#$9N9Cy)xG}s;} zR57Uo2iM;w5dQ%G*6t^KY1^P4`F(SC!mU%G%Ofv;_VZGe{urv>`Lgn&nZ` zPYhMB$1k>5`HaAK?TWY8D>iR`zPPKpW&^`F%QwH_in_izshWf3m$%Gft9a%k9rNjw zM}{h}uS_b|e7&Y?k$QL0Rz?2m;XWBt{a610jegD?QiI5`zR76W zg^B)XPuV}^CmdDcVG9~BTJ7VD&VdXTixIfHlVr9^@~Jy>EB^oy$;$p$>+(5CH5+JR zKer5R%>Dg8;Ie7uk0WUwT2EFbHy5q;?xg^?`w!&6Pj~EPL#cUd`2)>XdUD_0LYE5P z6qeB+-^LHMIJf$lA81Pg$_e6V>q;`82UEJ7^vx-Jr6k2E|Q<|k;@6x_(@n|2JqAHxo>?rm&gTT}|twGks7hhv8~{z3C~wb+i@2ql*uuWK1l2x%o2_>HVrxT0?T6N|j{$3@RN@ia7X}69)3n z`{37b0sZ2S-zfh8Ek@Fh@|WVm6K&>SD)g?cr7u8F@1tMx54ZBR9_f1KpR32p)=%Cq z_mIECA|D1r{{Rxo%n0)gujHjHt$j2pr(OuaS9uyRtU(mw9T;MZeJz+&)Q~EZL3bEF zk^cZ(ZqXn0z6>!DMi{!N4Bu&h6+b-1Lu|sJ^#>xGTC{31kW`9-HwWZ%lm2#Up&-+= z7>~s}o4bV{$e#*V{g!m})6KM;?3~1memir#XMM_#_gjCfoD0r+qj{UolKoy4{{TaC zW~35*RYvN23U0p+U58?4{LySAiql~h9$bk5KBl86Y968}ej-2FOzZyu0i8d{+8Krg zxs`r5-+v5;SZSwL^Avh)EB=k9qFb7Ds(e!a0KrVpoto0wEulz|P$;GVEZ27Bfmc-u zC^6gk=FA3IhyT~^R39v@vg!U~D+;=To<8}q_xWX00=1j<=Ap`_6>RU7_-5;xgkr7b ze8%26zFBmq@xZMd)bPz(9J=^nRiqBNskM4#{PT6`L0na;RORO1rfPlotBB3i<^WeO z0=2(<)XhVbM)<3?>6`A&lq=(0D&GDXWM&;RcEGO9zHa{jt1olc?!{Ua>6?1^=F2#% zTO6#jw)vOjWKseDb*W$5$&AbvMc)xaxmyT`Rr?SQE#lI1dcE z9@%5{VwVB$nw`#FGU(@iTq;?p$^InwE5SM;Cag_(ZI@sVrs zaKYLqmh~-4;@?yA8!My$8(URSst@xzjjN9Fj}KG2PkBXo7t770>7wfBR`TrnvlG^= zd1Sx}3T6lZpdT!{WTGfACBSCeFDUJW5C72aS+TvdVOIU}`*qB6&s@ik``(@LuGk44|D^<;0$1k=OTbE7pyXA~k*x+hu;N zb96aF6=;p?+ruwmls|@H(BiAIx6Ctk#auDLJTnio_hO@_DzlIKd0jE*rZ>kFwMylI zPMG19-xM`Ub